SlideShare a Scribd company logo
1 of 6
Download to read offline
ONLINE IEEE PROJECTS IeeeXpert.com
BUY THIS PROJECT FOR 3000 RS –IeeeXpert.com
Mobile: +91-9566492473/+91-9042092473| WhatsApp: 09566492473
Email: contact@ieeexpert.com | Locations: Pondicherry/Chennai
Delivering Projects all over India | 100% Output Satisfaction (or) Get Money back
Designing Tunable Subthreshold Logic Circuits
Using Adaptive Feedback Equalization
Abstract:
Ultralow-power subthreshold logic circuits are becoming prominent in embedded applications
with limited energy budgets. Minimum energy consumption of digital logic circuits can be
obtained by operating in the subthreshold regime. However, in this regime process variations can
result in up to an order of magnitude variations in ION/IOFF ratios leading to timing errors,
which can have a destructive effect on the functionality of the subthreshold circuits. These
timing errors become more frequent in scaled technology nodes where process variations are
highly prevalent. Therefore, mechanisms to mitigate these timing errors while minimizing the
energy consumption are required. In this paper, we propose a tunable adaptive feedback
equalizer circuit that can be used with a sequential digital logic to mitigate the process variation
effects and reduce the dominant leakage energy component in the subthreshold digital logic
circuits. We also present detailed energy-performance models of the adaptive feedback equalizer
circuit. As part of the modeling approach, we also develop an analytical methodology to estimate
the equivalent resistance of MOSFET devices in subthreshold regime. For a 64-bit adder
designed in 130 nm, our proposed approach can reduce the normalized variation of the critical
path delay from 16.1% to 11.4% while reducing the energy-delay product by 25.83% at
minimum energy supply voltage. The proposed architecture of this paper the area and power
consumption are analysis using tanner tools.
Enhancement of the project:
Use different combinational circuits.
Existing System:
Combinational logic refers to circuits whose output is strictly depended on the present value of
the inputs. As soon as inputs are changed, the information about the previous inputs is lost, that
ONLINE IEEE PROJECTS IeeeXpert.com
BUY THIS PROJECT FOR 3000 RS –IeeeXpert.com
Mobile: +91-9566492473/+91-9042092473| WhatsApp: 09566492473
Email: contact@ieeexpert.com | Locations: Pondicherry/Chennai
Delivering Projects all over India | 100% Output Satisfaction (or) Get Money back
is, combinational logics circuits have no memory. In many applications, information regarding
input values at a certain instant of time is required at some future time. Although every digital
system is likely to have combinational circuits, most systems encountered in practice also
include memory elements, which require that the system be described in terms of sequential
logic. Circuits whose outputs depend not only on the present input value but also the past input
value are known as sequential logic circuits. The mathematical model of a sequential circuit is
usually referred to as a sequential machine.
An edge-triggered flip-flop changes states either at the positive edge (rising edge) or at the
negative edge (falling edge) of the clock pulse on the control input. The three basic types are
introduced here: S-R, J-K and D.
The S-R, J-K and D inputs are called synchronous inputs because data on these inputs are
transferred to the flip-flop's output only on the triggering edge of the clock pulse. On the other
hand, the direct set (SET) and clear (CLR) inputs are called asynchronous inputs, as they are
inputs that affect the state of the flip-flop independent of the clock. For the synchronous
operations to work properly, these asynchronous inputs must both be kept LOW.
Subthreshold digital circuits suffer from the degraded ION/IOFF ratios resulting in a failure in
providing rail-to-rail output swings when restricted by aggressive timing constraints. These
degraded ION/IOFF ratios and process-related variations make subthreshold circuits highly
susceptible to timing errors that can further lead to complete system failures. Since the standard
deviation of VT varies inversely with the square root of the channel area, one approach to
overcome the process variation is to upsize the transistors. Alternately, one can increase the logic
path depth to leverage the statistical averaging of the delay across gates to overcome process
variations. These approaches, however, increase the transistor parasitics, which in turn increases
the energy consumption. In this paper, we first propose the use of a feedback equalizer circuit for
lowering the energy consumption of digital logic operating in the subthreshold region while
achieving robustness equivalent to that provided. Here, the feedback equalizer circuit (placed just
before the flip-flop) adjusts the switching threshold of its inverter based on the output of the flip-
flop in the previous cycle to reduce the charging/discharging time of the flip-flop’s input
capacitance. Moreover, the smaller input capacitance of the feedback equalizer reduces the
ONLINE IEEE PROJECTS IeeeXpert.com
BUY THIS PROJECT FOR 3000 RS –IeeeXpert.com
Mobile: +91-9566492473/+91-9042092473| WhatsApp: 09566492473
Email: contact@ieeexpert.com | Locations: Pondicherry/Chennai
Delivering Projects all over India | 100% Output Satisfaction (or) Get Money back
switching time of the last gate in the combinational logic block. Overall, this reduces the total
delay of the sequential logic, which makes it more robust to timing errors and allows aggressive
clocking to reduce the dominant leakage energy. In addition to reducing energy consumption, we
also demonstrate how the tuning capability of the equalizer can be used to enable extra
charging/discharging paths for the flip-flop input capacitance after fabrication to mitigate timing
errors resulting from worse than expected process variations in the subthreshold digital logic.
Disadvantages:
 Energy efficiency is less
 Transition time is high
Proposed System:
Fig. 1. Adaptive feedback equalizer circuit with multiple feedback paths (designed using a variable threshold
inverter ) can be combined with a traditional master–slave flip-flop to design an adaptive E-flip-flop.
We first explain the use of the adaptive feedback equalizer circuit in the design of an adaptive
equalized flip-flop (E-flip-flop) and then provide a detailed comparison of the E-flip-flop with
the conventional flip-flop in terms of area, setup time, and performance. We propose the use of a
variable threshold inverter (Fig. 1) as an adaptive feedback equalizer along with the classic
master–slave positive edge-triggered flip-flop (Fig. 2) to design an adaptive E-flip-flop. This
ONLINE IEEE PROJECTS IeeeXpert.com
BUY THIS PROJECT FOR 3000 RS –IeeeXpert.com
Mobile: +91-9566492473/+91-9042092473| WhatsApp: 09566492473
Email: contact@ieeexpert.com | Locations: Pondicherry/Chennai
Delivering Projects all over India | 100% Output Satisfaction (or) Get Money back
adaptive feedback equalizer circuit consists of two feedforward transistors (M1 and M2 in Fig. 1)
and four control transistors (M3 and M4 for feedback path 1 that is always ON and M5 and M6
for feedback path 2 that can be conditionally switched ON postfabrication in Fig. 1) that provide
extra pull-up/pull-down paths in addition to the pull-up/pull-down path in the static inverter for
the Data FlipFlop input capacitance.
Fig. 2. Circuit diagram of classic master–slave positive edge-triggered flip-flop
e analyze the capability of the adaptive feedback equalizer circuit to reduce the transition time of
the last gate in critical path of the subthreshold logic and make a comparison with the original
nonequalized design, and the buffer-inserted nonequalized design (Fig. 3). The classic buffer
insertion technique [Fig. 3(c)] will reduce the total delay along critical path of the subthreshold
logic. Like the gates in the combinational logic, the buffer used in Fig. 3(c) is upsized to account
for the process variation effects based on the design methodology proposed.
ONLINE IEEE PROJECTS IeeeXpert.com
BUY THIS PROJECT FOR 3000 RS –IeeeXpert.com
Mobile: +91-9566492473/+91-9042092473| WhatsApp: 09566492473
Email: contact@ieeexpert.com | Locations: Pondicherry/Chennai
Delivering Projects all over India | 100% Output Satisfaction (or) Get Money back
Fig. 3. Block diagrams of (a) original nonequalized design, (b) equalized design with one
feedback path ON, and (c) buffer-inserted nonequalized design.
MODELING OF FEEDBACK EQUALIZER CIRCUITS
We present detailed AMs for the performance and the energy of adaptive equalizer circuits
operating in the subthreshold regime. Using these models, we determine the sizes for
feedforward transistors and control transistors in the feedback equalizer circuit that minimize
total delay and leakage energy for the equalized subthreshold logic. Without loss of generality,
we choose minimum-sized transistors for matching high-to-low and low-to-high propagation
delay in the static inverter of the feedback equalizer circuit. As part of the effort, we first develop
an analytical methodology to calculate the equivalent channel resistance of active MOSFET
ONLINE IEEE PROJECTS IeeeXpert.com
BUY THIS PROJECT FOR 3000 RS –IeeeXpert.com
Mobile: +91-9566492473/+91-9042092473| WhatsApp: 09566492473
Email: contact@ieeexpert.com | Locations: Pondicherry/Chennai
Delivering Projects all over India | 100% Output Satisfaction (or) Get Money back
devices operating in the subthreshold regime. The proposed model is validated against HSPICE
simulations (HSs) using UMC 130-nm process.
Advantages:
 improve energy efficiency
 mitigate process variation effects
 transition time is reduced
Software implementation:
 Tanner tools

More Related Content

Viewers also liked

SharePoint 2010 Findability
SharePoint 2010 FindabilitySharePoint 2010 Findability
SharePoint 2010 FindabilityDave Maskell
 
annebermond.13@hotmail.fr-all
annebermond.13@hotmail.fr-allannebermond.13@hotmail.fr-all
annebermond.13@hotmail.fr-allAnne Bermond
 
Hoe ontwikkel ik mijn mindset
 Hoe ontwikkel ik mijn mindset Hoe ontwikkel ik mijn mindset
Hoe ontwikkel ik mijn mindsetHarry Donker MEd
 
Generation Z - A Guide to Marketing Your School Cafeteria
Generation Z - A Guide to Marketing Your School CafeteriaGeneration Z - A Guide to Marketing Your School Cafeteria
Generation Z - A Guide to Marketing Your School CafeteriaPrimeroEdge
 

Viewers also liked (6)

Sena
SenaSena
Sena
 
CV VNA P
CV VNA PCV VNA P
CV VNA P
 
SharePoint 2010 Findability
SharePoint 2010 FindabilitySharePoint 2010 Findability
SharePoint 2010 Findability
 
annebermond.13@hotmail.fr-all
annebermond.13@hotmail.fr-allannebermond.13@hotmail.fr-all
annebermond.13@hotmail.fr-all
 
Hoe ontwikkel ik mijn mindset
 Hoe ontwikkel ik mijn mindset Hoe ontwikkel ik mijn mindset
Hoe ontwikkel ik mijn mindset
 
Generation Z - A Guide to Marketing Your School Cafeteria
Generation Z - A Guide to Marketing Your School CafeteriaGeneration Z - A Guide to Marketing Your School Cafeteria
Generation Z - A Guide to Marketing Your School Cafeteria
 

More from Ieee Xpert

A high performance fir filter architecture for fixed and reconfigurable appli...
A high performance fir filter architecture for fixed and reconfigurable appli...A high performance fir filter architecture for fixed and reconfigurable appli...
A high performance fir filter architecture for fixed and reconfigurable appli...Ieee Xpert
 
A cellular network architecture with polynomial weight functions
A cellular network architecture with polynomial weight functions A cellular network architecture with polynomial weight functions
A cellular network architecture with polynomial weight functions Ieee Xpert
 
Flexible dsp accelerator architecture exploiting carry save arithmetic
Flexible dsp accelerator architecture exploiting carry save arithmeticFlexible dsp accelerator architecture exploiting carry save arithmetic
Flexible dsp accelerator architecture exploiting carry save arithmeticIeee Xpert
 
Graph based transistor network generation method for supergate design
Graph based transistor network generation method for supergate designGraph based transistor network generation method for supergate design
Graph based transistor network generation method for supergate designIeee Xpert
 
Lut optimization for distributed arithmetic based block least mean square ada...
Lut optimization for distributed arithmetic based block least mean square ada...Lut optimization for distributed arithmetic based block least mean square ada...
Lut optimization for distributed arithmetic based block least mean square ada...Ieee Xpert
 
High performance nb-ldpc decoder with reduction of message exchange
High performance nb-ldpc decoder with reduction of message exchange High performance nb-ldpc decoder with reduction of message exchange
High performance nb-ldpc decoder with reduction of message exchange Ieee Xpert
 
High performance pipelined architecture of elliptic curve scalar multiplicati...
High performance pipelined architecture of elliptic curve scalar multiplicati...High performance pipelined architecture of elliptic curve scalar multiplicati...
High performance pipelined architecture of elliptic curve scalar multiplicati...Ieee Xpert
 
Low power variation-tolerant nonvolatile lookup table design
Low power variation-tolerant nonvolatile lookup table design Low power variation-tolerant nonvolatile lookup table design
Low power variation-tolerant nonvolatile lookup table design Ieee Xpert
 
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell Ieee Xpert
 
Ieee power electrincs projects 2016 2017 titles mtech
Ieee power electrincs projects  2016 2017 titles mtechIeee power electrincs projects  2016 2017 titles mtech
Ieee power electrincs projects 2016 2017 titles mtechIeee Xpert
 

More from Ieee Xpert (10)

A high performance fir filter architecture for fixed and reconfigurable appli...
A high performance fir filter architecture for fixed and reconfigurable appli...A high performance fir filter architecture for fixed and reconfigurable appli...
A high performance fir filter architecture for fixed and reconfigurable appli...
 
A cellular network architecture with polynomial weight functions
A cellular network architecture with polynomial weight functions A cellular network architecture with polynomial weight functions
A cellular network architecture with polynomial weight functions
 
Flexible dsp accelerator architecture exploiting carry save arithmetic
Flexible dsp accelerator architecture exploiting carry save arithmeticFlexible dsp accelerator architecture exploiting carry save arithmetic
Flexible dsp accelerator architecture exploiting carry save arithmetic
 
Graph based transistor network generation method for supergate design
Graph based transistor network generation method for supergate designGraph based transistor network generation method for supergate design
Graph based transistor network generation method for supergate design
 
Lut optimization for distributed arithmetic based block least mean square ada...
Lut optimization for distributed arithmetic based block least mean square ada...Lut optimization for distributed arithmetic based block least mean square ada...
Lut optimization for distributed arithmetic based block least mean square ada...
 
High performance nb-ldpc decoder with reduction of message exchange
High performance nb-ldpc decoder with reduction of message exchange High performance nb-ldpc decoder with reduction of message exchange
High performance nb-ldpc decoder with reduction of message exchange
 
High performance pipelined architecture of elliptic curve scalar multiplicati...
High performance pipelined architecture of elliptic curve scalar multiplicati...High performance pipelined architecture of elliptic curve scalar multiplicati...
High performance pipelined architecture of elliptic curve scalar multiplicati...
 
Low power variation-tolerant nonvolatile lookup table design
Low power variation-tolerant nonvolatile lookup table design Low power variation-tolerant nonvolatile lookup table design
Low power variation-tolerant nonvolatile lookup table design
 
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
 
Ieee power electrincs projects 2016 2017 titles mtech
Ieee power electrincs projects  2016 2017 titles mtechIeee power electrincs projects  2016 2017 titles mtech
Ieee power electrincs projects 2016 2017 titles mtech
 

Recently uploaded

Malegaon Call Girls Service ☎ ️82500–77686 ☎️ Enjoy 24/7 Escort Service
Malegaon Call Girls Service ☎ ️82500–77686 ☎️ Enjoy 24/7 Escort ServiceMalegaon Call Girls Service ☎ ️82500–77686 ☎️ Enjoy 24/7 Escort Service
Malegaon Call Girls Service ☎ ️82500–77686 ☎️ Enjoy 24/7 Escort ServiceDamini Dixit
 
Falcon Invoice Discounting: The best investment platform in india for investors
Falcon Invoice Discounting: The best investment platform in india for investorsFalcon Invoice Discounting: The best investment platform in india for investors
Falcon Invoice Discounting: The best investment platform in india for investorsFalcon Invoice Discounting
 
Call Girls From Pari Chowk Greater Noida ❤️8448577510 ⊹Best Escorts Service I...
Call Girls From Pari Chowk Greater Noida ❤️8448577510 ⊹Best Escorts Service I...Call Girls From Pari Chowk Greater Noida ❤️8448577510 ⊹Best Escorts Service I...
Call Girls From Pari Chowk Greater Noida ❤️8448577510 ⊹Best Escorts Service I...lizamodels9
 
Cracking the Cultural Competence Code.pptx
Cracking the Cultural Competence Code.pptxCracking the Cultural Competence Code.pptx
Cracking the Cultural Competence Code.pptxWorkforce Group
 
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756dollysharma2066
 
Phases of Negotiation .pptx
 Phases of Negotiation .pptx Phases of Negotiation .pptx
Phases of Negotiation .pptxnandhinijagan9867
 
Falcon's Invoice Discounting: Your Path to Prosperity
Falcon's Invoice Discounting: Your Path to ProsperityFalcon's Invoice Discounting: Your Path to Prosperity
Falcon's Invoice Discounting: Your Path to Prosperityhemanthkumar470700
 
Value Proposition canvas- Customer needs and pains
Value Proposition canvas- Customer needs and painsValue Proposition canvas- Customer needs and pains
Value Proposition canvas- Customer needs and painsP&CO
 
Lundin Gold - Q1 2024 Conference Call Presentation (Revised)
Lundin Gold - Q1 2024 Conference Call Presentation (Revised)Lundin Gold - Q1 2024 Conference Call Presentation (Revised)
Lundin Gold - Q1 2024 Conference Call Presentation (Revised)Adnet Communications
 
Chandigarh Escorts Service 📞8868886958📞 Just📲 Call Nihal Chandigarh Call Girl...
Chandigarh Escorts Service 📞8868886958📞 Just📲 Call Nihal Chandigarh Call Girl...Chandigarh Escorts Service 📞8868886958📞 Just📲 Call Nihal Chandigarh Call Girl...
Chandigarh Escorts Service 📞8868886958📞 Just📲 Call Nihal Chandigarh Call Girl...Sheetaleventcompany
 
Call Girls Jp Nagar Just Call 👗 7737669865 👗 Top Class Call Girl Service Bang...
Call Girls Jp Nagar Just Call 👗 7737669865 👗 Top Class Call Girl Service Bang...Call Girls Jp Nagar Just Call 👗 7737669865 👗 Top Class Call Girl Service Bang...
Call Girls Jp Nagar Just Call 👗 7737669865 👗 Top Class Call Girl Service Bang...amitlee9823
 
👉Chandigarh Call Girls 👉9878799926👉Just Call👉Chandigarh Call Girl In Chandiga...
👉Chandigarh Call Girls 👉9878799926👉Just Call👉Chandigarh Call Girl In Chandiga...👉Chandigarh Call Girls 👉9878799926👉Just Call👉Chandigarh Call Girl In Chandiga...
👉Chandigarh Call Girls 👉9878799926👉Just Call👉Chandigarh Call Girl In Chandiga...rajveerescorts2022
 
Cheap Rate Call Girls In Noida Sector 62 Metro 959961乂3876
Cheap Rate Call Girls In Noida Sector 62 Metro 959961乂3876Cheap Rate Call Girls In Noida Sector 62 Metro 959961乂3876
Cheap Rate Call Girls In Noida Sector 62 Metro 959961乂3876dlhescort
 
Call Girls in Delhi, Escort Service Available 24x7 in Delhi 959961-/-3876
Call Girls in Delhi, Escort Service Available 24x7 in Delhi 959961-/-3876Call Girls in Delhi, Escort Service Available 24x7 in Delhi 959961-/-3876
Call Girls in Delhi, Escort Service Available 24x7 in Delhi 959961-/-3876dlhescort
 
Call Girls Kengeri Satellite Town Just Call 👗 7737669865 👗 Top Class Call Gir...
Call Girls Kengeri Satellite Town Just Call 👗 7737669865 👗 Top Class Call Gir...Call Girls Kengeri Satellite Town Just Call 👗 7737669865 👗 Top Class Call Gir...
Call Girls Kengeri Satellite Town Just Call 👗 7737669865 👗 Top Class Call Gir...amitlee9823
 
The Path to Product Excellence: Avoiding Common Pitfalls and Enhancing Commun...
The Path to Product Excellence: Avoiding Common Pitfalls and Enhancing Commun...The Path to Product Excellence: Avoiding Common Pitfalls and Enhancing Commun...
The Path to Product Excellence: Avoiding Common Pitfalls and Enhancing Commun...Aggregage
 
Unveiling Falcon Invoice Discounting: Leading the Way as India's Premier Bill...
Unveiling Falcon Invoice Discounting: Leading the Way as India's Premier Bill...Unveiling Falcon Invoice Discounting: Leading the Way as India's Premier Bill...
Unveiling Falcon Invoice Discounting: Leading the Way as India's Premier Bill...Falcon Invoice Discounting
 
Russian Call Girls In Gurgaon ❤️8448577510 ⊹Best Escorts Service In 24/7 Delh...
Russian Call Girls In Gurgaon ❤️8448577510 ⊹Best Escorts Service In 24/7 Delh...Russian Call Girls In Gurgaon ❤️8448577510 ⊹Best Escorts Service In 24/7 Delh...
Russian Call Girls In Gurgaon ❤️8448577510 ⊹Best Escorts Service In 24/7 Delh...lizamodels9
 
Al Mizhar Dubai Escorts +971561403006 Escorts Service In Al Mizhar
Al Mizhar Dubai Escorts +971561403006 Escorts Service In Al MizharAl Mizhar Dubai Escorts +971561403006 Escorts Service In Al Mizhar
Al Mizhar Dubai Escorts +971561403006 Escorts Service In Al Mizharallensay1
 

Recently uploaded (20)

Malegaon Call Girls Service ☎ ️82500–77686 ☎️ Enjoy 24/7 Escort Service
Malegaon Call Girls Service ☎ ️82500–77686 ☎️ Enjoy 24/7 Escort ServiceMalegaon Call Girls Service ☎ ️82500–77686 ☎️ Enjoy 24/7 Escort Service
Malegaon Call Girls Service ☎ ️82500–77686 ☎️ Enjoy 24/7 Escort Service
 
unwanted pregnancy Kit [+918133066128] Abortion Pills IN Dubai UAE Abudhabi
unwanted pregnancy Kit [+918133066128] Abortion Pills IN Dubai UAE Abudhabiunwanted pregnancy Kit [+918133066128] Abortion Pills IN Dubai UAE Abudhabi
unwanted pregnancy Kit [+918133066128] Abortion Pills IN Dubai UAE Abudhabi
 
Falcon Invoice Discounting: The best investment platform in india for investors
Falcon Invoice Discounting: The best investment platform in india for investorsFalcon Invoice Discounting: The best investment platform in india for investors
Falcon Invoice Discounting: The best investment platform in india for investors
 
Call Girls From Pari Chowk Greater Noida ❤️8448577510 ⊹Best Escorts Service I...
Call Girls From Pari Chowk Greater Noida ❤️8448577510 ⊹Best Escorts Service I...Call Girls From Pari Chowk Greater Noida ❤️8448577510 ⊹Best Escorts Service I...
Call Girls From Pari Chowk Greater Noida ❤️8448577510 ⊹Best Escorts Service I...
 
Cracking the Cultural Competence Code.pptx
Cracking the Cultural Competence Code.pptxCracking the Cultural Competence Code.pptx
Cracking the Cultural Competence Code.pptx
 
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
 
Phases of Negotiation .pptx
 Phases of Negotiation .pptx Phases of Negotiation .pptx
Phases of Negotiation .pptx
 
Falcon's Invoice Discounting: Your Path to Prosperity
Falcon's Invoice Discounting: Your Path to ProsperityFalcon's Invoice Discounting: Your Path to Prosperity
Falcon's Invoice Discounting: Your Path to Prosperity
 
Value Proposition canvas- Customer needs and pains
Value Proposition canvas- Customer needs and painsValue Proposition canvas- Customer needs and pains
Value Proposition canvas- Customer needs and pains
 
Lundin Gold - Q1 2024 Conference Call Presentation (Revised)
Lundin Gold - Q1 2024 Conference Call Presentation (Revised)Lundin Gold - Q1 2024 Conference Call Presentation (Revised)
Lundin Gold - Q1 2024 Conference Call Presentation (Revised)
 
Chandigarh Escorts Service 📞8868886958📞 Just📲 Call Nihal Chandigarh Call Girl...
Chandigarh Escorts Service 📞8868886958📞 Just📲 Call Nihal Chandigarh Call Girl...Chandigarh Escorts Service 📞8868886958📞 Just📲 Call Nihal Chandigarh Call Girl...
Chandigarh Escorts Service 📞8868886958📞 Just📲 Call Nihal Chandigarh Call Girl...
 
Call Girls Jp Nagar Just Call 👗 7737669865 👗 Top Class Call Girl Service Bang...
Call Girls Jp Nagar Just Call 👗 7737669865 👗 Top Class Call Girl Service Bang...Call Girls Jp Nagar Just Call 👗 7737669865 👗 Top Class Call Girl Service Bang...
Call Girls Jp Nagar Just Call 👗 7737669865 👗 Top Class Call Girl Service Bang...
 
👉Chandigarh Call Girls 👉9878799926👉Just Call👉Chandigarh Call Girl In Chandiga...
👉Chandigarh Call Girls 👉9878799926👉Just Call👉Chandigarh Call Girl In Chandiga...👉Chandigarh Call Girls 👉9878799926👉Just Call👉Chandigarh Call Girl In Chandiga...
👉Chandigarh Call Girls 👉9878799926👉Just Call👉Chandigarh Call Girl In Chandiga...
 
Cheap Rate Call Girls In Noida Sector 62 Metro 959961乂3876
Cheap Rate Call Girls In Noida Sector 62 Metro 959961乂3876Cheap Rate Call Girls In Noida Sector 62 Metro 959961乂3876
Cheap Rate Call Girls In Noida Sector 62 Metro 959961乂3876
 
Call Girls in Delhi, Escort Service Available 24x7 in Delhi 959961-/-3876
Call Girls in Delhi, Escort Service Available 24x7 in Delhi 959961-/-3876Call Girls in Delhi, Escort Service Available 24x7 in Delhi 959961-/-3876
Call Girls in Delhi, Escort Service Available 24x7 in Delhi 959961-/-3876
 
Call Girls Kengeri Satellite Town Just Call 👗 7737669865 👗 Top Class Call Gir...
Call Girls Kengeri Satellite Town Just Call 👗 7737669865 👗 Top Class Call Gir...Call Girls Kengeri Satellite Town Just Call 👗 7737669865 👗 Top Class Call Gir...
Call Girls Kengeri Satellite Town Just Call 👗 7737669865 👗 Top Class Call Gir...
 
The Path to Product Excellence: Avoiding Common Pitfalls and Enhancing Commun...
The Path to Product Excellence: Avoiding Common Pitfalls and Enhancing Commun...The Path to Product Excellence: Avoiding Common Pitfalls and Enhancing Commun...
The Path to Product Excellence: Avoiding Common Pitfalls and Enhancing Commun...
 
Unveiling Falcon Invoice Discounting: Leading the Way as India's Premier Bill...
Unveiling Falcon Invoice Discounting: Leading the Way as India's Premier Bill...Unveiling Falcon Invoice Discounting: Leading the Way as India's Premier Bill...
Unveiling Falcon Invoice Discounting: Leading the Way as India's Premier Bill...
 
Russian Call Girls In Gurgaon ❤️8448577510 ⊹Best Escorts Service In 24/7 Delh...
Russian Call Girls In Gurgaon ❤️8448577510 ⊹Best Escorts Service In 24/7 Delh...Russian Call Girls In Gurgaon ❤️8448577510 ⊹Best Escorts Service In 24/7 Delh...
Russian Call Girls In Gurgaon ❤️8448577510 ⊹Best Escorts Service In 24/7 Delh...
 
Al Mizhar Dubai Escorts +971561403006 Escorts Service In Al Mizhar
Al Mizhar Dubai Escorts +971561403006 Escorts Service In Al MizharAl Mizhar Dubai Escorts +971561403006 Escorts Service In Al Mizhar
Al Mizhar Dubai Escorts +971561403006 Escorts Service In Al Mizhar
 

Designing tunable subthreshold logic circuits using adaptive feedback equalization

  • 1. ONLINE IEEE PROJECTS IeeeXpert.com BUY THIS PROJECT FOR 3000 RS –IeeeXpert.com Mobile: +91-9566492473/+91-9042092473| WhatsApp: 09566492473 Email: contact@ieeexpert.com | Locations: Pondicherry/Chennai Delivering Projects all over India | 100% Output Satisfaction (or) Get Money back Designing Tunable Subthreshold Logic Circuits Using Adaptive Feedback Equalization Abstract: Ultralow-power subthreshold logic circuits are becoming prominent in embedded applications with limited energy budgets. Minimum energy consumption of digital logic circuits can be obtained by operating in the subthreshold regime. However, in this regime process variations can result in up to an order of magnitude variations in ION/IOFF ratios leading to timing errors, which can have a destructive effect on the functionality of the subthreshold circuits. These timing errors become more frequent in scaled technology nodes where process variations are highly prevalent. Therefore, mechanisms to mitigate these timing errors while minimizing the energy consumption are required. In this paper, we propose a tunable adaptive feedback equalizer circuit that can be used with a sequential digital logic to mitigate the process variation effects and reduce the dominant leakage energy component in the subthreshold digital logic circuits. We also present detailed energy-performance models of the adaptive feedback equalizer circuit. As part of the modeling approach, we also develop an analytical methodology to estimate the equivalent resistance of MOSFET devices in subthreshold regime. For a 64-bit adder designed in 130 nm, our proposed approach can reduce the normalized variation of the critical path delay from 16.1% to 11.4% while reducing the energy-delay product by 25.83% at minimum energy supply voltage. The proposed architecture of this paper the area and power consumption are analysis using tanner tools. Enhancement of the project: Use different combinational circuits. Existing System: Combinational logic refers to circuits whose output is strictly depended on the present value of the inputs. As soon as inputs are changed, the information about the previous inputs is lost, that
  • 2. ONLINE IEEE PROJECTS IeeeXpert.com BUY THIS PROJECT FOR 3000 RS –IeeeXpert.com Mobile: +91-9566492473/+91-9042092473| WhatsApp: 09566492473 Email: contact@ieeexpert.com | Locations: Pondicherry/Chennai Delivering Projects all over India | 100% Output Satisfaction (or) Get Money back is, combinational logics circuits have no memory. In many applications, information regarding input values at a certain instant of time is required at some future time. Although every digital system is likely to have combinational circuits, most systems encountered in practice also include memory elements, which require that the system be described in terms of sequential logic. Circuits whose outputs depend not only on the present input value but also the past input value are known as sequential logic circuits. The mathematical model of a sequential circuit is usually referred to as a sequential machine. An edge-triggered flip-flop changes states either at the positive edge (rising edge) or at the negative edge (falling edge) of the clock pulse on the control input. The three basic types are introduced here: S-R, J-K and D. The S-R, J-K and D inputs are called synchronous inputs because data on these inputs are transferred to the flip-flop's output only on the triggering edge of the clock pulse. On the other hand, the direct set (SET) and clear (CLR) inputs are called asynchronous inputs, as they are inputs that affect the state of the flip-flop independent of the clock. For the synchronous operations to work properly, these asynchronous inputs must both be kept LOW. Subthreshold digital circuits suffer from the degraded ION/IOFF ratios resulting in a failure in providing rail-to-rail output swings when restricted by aggressive timing constraints. These degraded ION/IOFF ratios and process-related variations make subthreshold circuits highly susceptible to timing errors that can further lead to complete system failures. Since the standard deviation of VT varies inversely with the square root of the channel area, one approach to overcome the process variation is to upsize the transistors. Alternately, one can increase the logic path depth to leverage the statistical averaging of the delay across gates to overcome process variations. These approaches, however, increase the transistor parasitics, which in turn increases the energy consumption. In this paper, we first propose the use of a feedback equalizer circuit for lowering the energy consumption of digital logic operating in the subthreshold region while achieving robustness equivalent to that provided. Here, the feedback equalizer circuit (placed just before the flip-flop) adjusts the switching threshold of its inverter based on the output of the flip- flop in the previous cycle to reduce the charging/discharging time of the flip-flop’s input capacitance. Moreover, the smaller input capacitance of the feedback equalizer reduces the
  • 3. ONLINE IEEE PROJECTS IeeeXpert.com BUY THIS PROJECT FOR 3000 RS –IeeeXpert.com Mobile: +91-9566492473/+91-9042092473| WhatsApp: 09566492473 Email: contact@ieeexpert.com | Locations: Pondicherry/Chennai Delivering Projects all over India | 100% Output Satisfaction (or) Get Money back switching time of the last gate in the combinational logic block. Overall, this reduces the total delay of the sequential logic, which makes it more robust to timing errors and allows aggressive clocking to reduce the dominant leakage energy. In addition to reducing energy consumption, we also demonstrate how the tuning capability of the equalizer can be used to enable extra charging/discharging paths for the flip-flop input capacitance after fabrication to mitigate timing errors resulting from worse than expected process variations in the subthreshold digital logic. Disadvantages:  Energy efficiency is less  Transition time is high Proposed System: Fig. 1. Adaptive feedback equalizer circuit with multiple feedback paths (designed using a variable threshold inverter ) can be combined with a traditional master–slave flip-flop to design an adaptive E-flip-flop. We first explain the use of the adaptive feedback equalizer circuit in the design of an adaptive equalized flip-flop (E-flip-flop) and then provide a detailed comparison of the E-flip-flop with the conventional flip-flop in terms of area, setup time, and performance. We propose the use of a variable threshold inverter (Fig. 1) as an adaptive feedback equalizer along with the classic master–slave positive edge-triggered flip-flop (Fig. 2) to design an adaptive E-flip-flop. This
  • 4. ONLINE IEEE PROJECTS IeeeXpert.com BUY THIS PROJECT FOR 3000 RS –IeeeXpert.com Mobile: +91-9566492473/+91-9042092473| WhatsApp: 09566492473 Email: contact@ieeexpert.com | Locations: Pondicherry/Chennai Delivering Projects all over India | 100% Output Satisfaction (or) Get Money back adaptive feedback equalizer circuit consists of two feedforward transistors (M1 and M2 in Fig. 1) and four control transistors (M3 and M4 for feedback path 1 that is always ON and M5 and M6 for feedback path 2 that can be conditionally switched ON postfabrication in Fig. 1) that provide extra pull-up/pull-down paths in addition to the pull-up/pull-down path in the static inverter for the Data FlipFlop input capacitance. Fig. 2. Circuit diagram of classic master–slave positive edge-triggered flip-flop e analyze the capability of the adaptive feedback equalizer circuit to reduce the transition time of the last gate in critical path of the subthreshold logic and make a comparison with the original nonequalized design, and the buffer-inserted nonequalized design (Fig. 3). The classic buffer insertion technique [Fig. 3(c)] will reduce the total delay along critical path of the subthreshold logic. Like the gates in the combinational logic, the buffer used in Fig. 3(c) is upsized to account for the process variation effects based on the design methodology proposed.
  • 5. ONLINE IEEE PROJECTS IeeeXpert.com BUY THIS PROJECT FOR 3000 RS –IeeeXpert.com Mobile: +91-9566492473/+91-9042092473| WhatsApp: 09566492473 Email: contact@ieeexpert.com | Locations: Pondicherry/Chennai Delivering Projects all over India | 100% Output Satisfaction (or) Get Money back Fig. 3. Block diagrams of (a) original nonequalized design, (b) equalized design with one feedback path ON, and (c) buffer-inserted nonequalized design. MODELING OF FEEDBACK EQUALIZER CIRCUITS We present detailed AMs for the performance and the energy of adaptive equalizer circuits operating in the subthreshold regime. Using these models, we determine the sizes for feedforward transistors and control transistors in the feedback equalizer circuit that minimize total delay and leakage energy for the equalized subthreshold logic. Without loss of generality, we choose minimum-sized transistors for matching high-to-low and low-to-high propagation delay in the static inverter of the feedback equalizer circuit. As part of the effort, we first develop an analytical methodology to calculate the equivalent channel resistance of active MOSFET
  • 6. ONLINE IEEE PROJECTS IeeeXpert.com BUY THIS PROJECT FOR 3000 RS –IeeeXpert.com Mobile: +91-9566492473/+91-9042092473| WhatsApp: 09566492473 Email: contact@ieeexpert.com | Locations: Pondicherry/Chennai Delivering Projects all over India | 100% Output Satisfaction (or) Get Money back devices operating in the subthreshold regime. The proposed model is validated against HSPICE simulations (HSs) using UMC 130-nm process. Advantages:  improve energy efficiency  mitigate process variation effects  transition time is reduced Software implementation:  Tanner tools