SlideShare a Scribd company logo
1 of 7
Download to read offline
V. G. Santhi Swaroop et al Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 4, Issue 4( Version 1), April 2014, pp.382-388
www.ijera.com 382 | P a g e
Implementation of Optimized Reversible Sequential and
Combinational Circuits for VLSI Applications
P. Mohan Krishna**, V. G. Santhi Swaroop**, S. Harika*, N. Sravya*, V.
Vani*
(** Assistant Professor, Department of Electronics and Communication Engineering, SSCE, Chilakapalem)
(* ug-student, Department of Electronics and Communication Engineering, SSCE, Chilakapalem)
ABSTRACT
Reversible logic has emerged as one of the most important approaches for the power optimization with its
application in low power VLSI design. They are also the fundamental requirement for the emerging field of the
Quantum computing having with applications in the domains like Nano-technology, Digital signal processing,
Cryptography, Communications. Implementing the reversible logic has the advantages of reducing gate counts,
garbage outputs as well as constant inputs. In this project we present sequential and combinational circuit with
reversible logic gates which are simulated in Xilinx ISE and by writing the code in VHDL . we have proposed a
new design technique of BCD Adder using newly constructed reversible gates are based on CMOS with pass
transistor gates . Here the total reversible Adder is designed using EDA tools. We will analyze the VLSI
limitations like power consumption and area of designed circuits.
Keywords: sequential circuits, combinational circuits Reversible logic gates, Xilinx and EDA
I. INTRODUCTION
Reversible logic has received great attention
in the recent years due to their ability to reduce the
power dissipation which is the main requirement in
low power VLSI design. Quantum computers are
constructed using reversible logic circuits. It has wide
applications in low power CMOS and Optical
information processing, DNA computing, quantum
computation and nanotechnology. Now-a-days, the
electronic systems are an integral part of a human‟s
life. As technology develops, the complexity of the
system also increases. This gives rise to increase in
power consumption, which is a great issue faced by
the world today. One of the causes for high power
dissipation is rapid switching of internal signals.
Almost all the electronic systems are designed using
conventional logic gates, which are irreversible. For
each computation in these systems some information
(bits) is lost or erased. In 1961, Landauer showed
that for each lost bit, a heat of KT ln 2 joules is being
generated, where K (1.38x10-23 J/K) is
Boltzmann‟s constant and T is temperature at which
computation takes place. According to Moore‟s law,
there is an exponential growth in the heat generated
due to information loss, which will reach an
intolerable amount in the recent decades. This heat
dissipation reduces the performance and lifetime of
the circuits.
In this paper we design combinational and
sequential circuits using reversible logic gates using
Xilinx ISE tool and 8 bit adder circuit was designed
using microwind tool.
II. REVERSIBLE LOGIC GATES
The simplest Reversible gate is NOT gate
and is a 1*1 gate. Controlled NOT (CNOT) gate is an
example for a 2*2 gate. There are many 3*3
Reversible gates such as F, TG, PG and TR gate. The
Quantum Cost of 1*1 Reversible gates is zero, and
Quantum Cost of 2*2 Reversible gates is one. Any
Reversible gate is realized by using 1*1 NOT gates
and 2*2 Reversible gates, such as V, V+ and FG gate
which is also known as CNOT gate.
The Reversible 1*1 gate is NOT Gate with zero
Quantum Cost is as shown in the Figure 1.
The Reversible 2*2 gate with Quantum Cost
of one having mapping input (A, B) to output (P = A,
Q = A B) is as shown in the Figure 2.
RESEARCH ARTICLE OPEN ACCESS
V. G. Santhi Swaroop et al Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 4, Issue 4( Version 1), April 2014, pp.382-388
www.ijera.com 383 | P a g e
A ToffoliGate (TG) is a 3×3 two-through
reversible gate as shown in figure Two-throughmeans
two of its outputs are the same as inputs with
themapping (A, B, C) to (P = A, Q = B, R = A • B ⊕
C), where A, B, C are inputs and P, Q, R are outputs,
respectively. Toffoli gate is one of the most popular
reversible gates and has quantum cost of 5 as shown
in Figure. The quantum cost of Toffoli gate is 5 as it
needs 2 Controlled-V gates, 1 Controlled-V+ gate
and 2 CNOT gates to implement it.
P, Q, R are the outputs, respectively. Figure shows
the Peres gate and Figure shows the quantum
implementation of the Peres gate (PG) with quantum
cost of 4. The quantum cost of Peres gate is 4 since it
requires 2 Controlled-V+ gates, 1 Controlled-V gate
and 1 CNOT gate in its design. In the existing
literature, among the 3 * 3 reversible gate, Peres gate
has the minimum quantum cost.
A Fredkin gate is a (3×3) conservative reversible
gate, having the mapping (A, B, C) to (P = A, Q =
A’B + AC, R = AB + A’C), where A, B, C are the
inputs and P, Q, R are the outputs, respectively
[Fredkin and Toffoli 1982]. It is called a 3×3 gate
because it has three inputs and three outputs.
III. DESIGN OF REVERSIBLE
LATCHES
In this section, we present novel designs of
reversible latches that are optimized in terms of
quantum cost, delay, and the number of garbage
outputs
III.1 The SR Latch:
The SR latch can be designed using two
cross-coupled NOR gates or two cross-couple NAND
gates. The S input sets the latch to 1, while the R
input resets the latch to 0.
Fig 1: SR Latch
Fig 2 : Pares gate based SR-Latch
Fig 3: results for SR-Latch
V. G. Santhi Swaroop et al Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 4, Issue 4( Version 1), April 2014, pp.382-388
www.ijera.com 384 | P a g e
Fig 4: results for Pares gate based SR-Latch
Fig 5: New design of reverse SR-Latch
III.2 The D Latch:
The characteristic equation of the D latch
can be written as Q+ = D· E+ ¯E · Q. When the
enable signal(clock) is 1, the value of the input D is
reflected at the output that is Q+ = D. While, when E
= 0 the latchmaintains its previous state, that is Q+ =
Q. The characteristic equation of the D latch can be
mapped to the Fredkin gate (F) as it matches the
template of the Fredkin gate.
Fig 6: Fredkin based D-Latch
Fig 7: Results for Fredkin based D-Latch
Fig 8: Reversible D-Latch with Q and Q’
Fig 9: Results for reversible D-Latch with Q and Q’
III. 3 The T Latch:
The characteristic equation of the T latch
can bewritten as Q+ = (T ·Q)·E+¯E·Q. But the same
result can also be obtained from Q+ = (T · E) ⊕Q.
The T(toggle) latch is a complementing latch which
complements its value when T = 1, that is when T = 1
and E = 1 we have Q+ = Q’. When T = 0, the T latch
maintains its state and we have no change in the
output. The T latch characteristic equation can be
directly mapped to the Peres gate and the fanout at
output Q can be avoided by cascading the Feynman
gate. The design has the quantum cost of 5, delay of 5
1 and requires 2 garbage outputs.
Fig 10: Peres gate based T Latch
V. G. Santhi Swaroop et al Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 4, Issue 4( Version 1), April 2014, pp.382-388
www.ijera.com 385 | P a g e
Fig 11: Results Peres gate based T Latch
Fig 12:reversible Peres gate based T Latch with Q
and Q’
Fig 13 :Results for reversible Peres gate based T
Latch with Q and Q’
III.4 DESIGN OF THE REVERSIBLE MASTER-
SLAVE FLIP-FLOPS:
The reversible master-slave flip-flops were
first presented in Thapliyal et al. in which the authors
had used the strategy of using one latch as amaster
and the other latch as a slave to design the reversible
flip-flops. The same strategy was followed in Rice,
Thapliyal and Vinod , and Chuang andWang . The
proposed work is also based on the same strategy and
the goal is to optimize the quantum cost and the delay
of the flip-flops along with the garbage outputs. All
the existing reversible master-slave flip-flop designs
require the clock to be inverted for use in the slave
latch. The proposed masterslave flip-flops designs
have a special characteristic of not requiring the
clock to be inverted for use in the slave latch. This is
because as they use the negative enable D latch as the
slave latch, thus no clock inversion is required.
Fig 14:Reversible master slave d flipflop
Fig 15 : Reversible master slave T-flip flop
IV. DESIGN OF REVERSIBLE
COMBINATIONAL CIRCUITS:
IV.1 MUX Gate:
the pictorial representation of 3×3 reversible
gate called MUX (MG) Gate. It is a conservative gate
havig three inputs (A, B, C) and three outputs (P, Q,
R). The outputs are defined by P=A, Q=A XOR B
XOR C and R= A‟C XOR AB. The hamming
weight of its input vector is same as the hamming
weight of its output vector and its Quantum cost is 4.
V. G. Santhi Swaroop et al Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 4, Issue 4( Version 1), April 2014, pp.382-388
www.ijera.com 386 | P a g e
IV.2 Frdkingated based fanout circuit:
Fig 16: Fanout circuit
IV.3 Fredkin gate based asynchronous reset
circuit:
Fig 17 : Results for asynchronous reset circuit
IV.4 Half adder:
Fig 18 : half adder circuit
IV.5 Full adder:
Fig 19 : full adder circuit
IV.6 Subs tractor circuit:
Fig 20 : results for subs tractor circuit
IV.7 Feynman gate used as buffer and not gate:
Fig 21: results for buffer and not gate
V. G. Santhi Swaroop et al Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 4, Issue 4( Version 1), April 2014, pp.382-388
www.ijera.com 387 | P a g e
IV.8 Half adder /subtractor circuit :
Here the circuit was designed using Dsch
2.0 and microwind tool .
Fig 22: circuit for half adder/subtractor circuit.
Fig 23: results for half adder/sbtractor circuit
Fig 24: layout for half adder/sbtractor circuit
IV.9 Full adder / subtractor:
Fig 23 : circuit for full adder/subtrator
Fig 24: results for full adder/subtrator.
IV.10 8bit full adder /subtracor:
Fig 25: 8bit full adder/subtrator.
V. CONCLUSION
In this work we have presented novel
designs of reversible latches and flipflops, which are
being optimized in terms of quantum cost, delay, and
garbage outputs. The present work differs from the
existing approaches in the literature that have
optimized the reversible sequential circuit designs in
terms of number of reversible gates and garbage
outputs. We have also discussed the new designs of
reversible D latch and D flip-flop.
In this paper we designed sequential and
combinational circuit in reversible logic circuits for
V. G. Santhi Swaroop et al Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 4, Issue 4( Version 1), April 2014, pp.382-388
www.ijera.com 388 | P a g e
high performance and low quantum cost for vlsi
applications.
REFERENCES
[1] BANERJEE, A. AND PATHAK, A. 2007.
On the synthesis of sequential reversible
circuit.
[2] FRANK, M. 2005b. Introduction to
reversible computing: motivation, progress,
and challenges.
[3] .”Design of low power arithmetic unit based
on reversible logic” in International Journal
of VLSI and signal processing applications,
[4] ”A novel design of reversible serial and
parallel adder/subtractor” in International
journal of engineering science and
technology.
[5] Low power reversible parallel binary
adder/subtractor by Rangaraju H.G,
Venugopal U, Muralidhara K.N, Raja K.B.
[6] ”Optimal design of a reversible full adder”
in International Journal of unconventional
computing by Yvan Van Rentergen and
Alexis De Vos.
[7] T. Toffoli, “Reversible Computing”, Tech
Memo MIT/LCS/TM-151, MIT Laboratory
for Computer Science, 1980
[8] R. P. Feynman, “Quantum Mechanical
Computers”, Optic News, Vol.11, pp.11-20,
February 1985
[9] Devendra Goyal, Vidhi Sharma, “VHDL
Implementation of Reversible Logic Gates”,
International Journal of Advanced
Technology and Engineering Research,
Vol.2, Issue.3, pp.157-163, May 2012

More Related Content

What's hot

On Resolution Proofs for Combinational Equivalence
On Resolution Proofs for Combinational EquivalenceOn Resolution Proofs for Combinational Equivalence
On Resolution Proofs for Combinational Equivalence
satrajit
 
Welcome to International Journal of Engineering Research and Development (IJERD)
Welcome to International Journal of Engineering Research and Development (IJERD)Welcome to International Journal of Engineering Research and Development (IJERD)
Welcome to International Journal of Engineering Research and Development (IJERD)
IJERD Editor
 
Mapping of one model into other model
Mapping of one model into other modelMapping of one model into other model
Mapping of one model into other model
ratikaagarwal
 
Quantum logic synthesis (srikanth)
Quantum logic synthesis (srikanth)Quantum logic synthesis (srikanth)
Quantum logic synthesis (srikanth)
bitra11
 
04 -ece_3125_~_ece_3242_-_oct_10_202_-_assignment_1_-_due_oct_17_2012
04  -ece_3125_~_ece_3242_-_oct_10_202_-_assignment_1_-_due_oct_17_201204  -ece_3125_~_ece_3242_-_oct_10_202_-_assignment_1_-_due_oct_17_2012
04 -ece_3125_~_ece_3242_-_oct_10_202_-_assignment_1_-_due_oct_17_2012
Emad ALmarday
 
Reza Talk En Kf 09
Reza Talk En Kf 09Reza Talk En Kf 09
Reza Talk En Kf 09
rezatavakoli
 

What's hot (20)

On Resolution Proofs for Combinational Equivalence
On Resolution Proofs for Combinational EquivalenceOn Resolution Proofs for Combinational Equivalence
On Resolution Proofs for Combinational Equivalence
 
Low cost reversible signed comparator
Low cost reversible signed comparatorLow cost reversible signed comparator
Low cost reversible signed comparator
 
Design and minimization of reversible programmable logic arrays and its reali...
Design and minimization of reversible programmable logic arrays and its reali...Design and minimization of reversible programmable logic arrays and its reali...
Design and minimization of reversible programmable logic arrays and its reali...
 
A Novel Design of 4 Bit Johnson Counter Using Reversible Logic Gates
A Novel Design of 4 Bit Johnson Counter Using Reversible Logic GatesA Novel Design of 4 Bit Johnson Counter Using Reversible Logic Gates
A Novel Design of 4 Bit Johnson Counter Using Reversible Logic Gates
 
Welcome to International Journal of Engineering Research and Development (IJERD)
Welcome to International Journal of Engineering Research and Development (IJERD)Welcome to International Journal of Engineering Research and Development (IJERD)
Welcome to International Journal of Engineering Research and Development (IJERD)
 
Cycle’s topological optimizations and the iterative decoding problem on gener...
Cycle’s topological optimizations and the iterative decoding problem on gener...Cycle’s topological optimizations and the iterative decoding problem on gener...
Cycle’s topological optimizations and the iterative decoding problem on gener...
 
Power and Delay Analysis of Logic Circuits Using Reversible Gates
Power and Delay Analysis of Logic Circuits Using Reversible GatesPower and Delay Analysis of Logic Circuits Using Reversible Gates
Power and Delay Analysis of Logic Circuits Using Reversible Gates
 
Cost Efficient Design of Reversible Adder Circuits for Low Power Applications
Cost Efficient Design of Reversible Adder Circuits for Low Power ApplicationsCost Efficient Design of Reversible Adder Circuits for Low Power Applications
Cost Efficient Design of Reversible Adder Circuits for Low Power Applications
 
Mapping of one model into other model
Mapping of one model into other modelMapping of one model into other model
Mapping of one model into other model
 
Design of Reversible Sequential Circuit Using Reversible Logic Synthesis
Design of Reversible Sequential Circuit Using Reversible Logic SynthesisDesign of Reversible Sequential Circuit Using Reversible Logic Synthesis
Design of Reversible Sequential Circuit Using Reversible Logic Synthesis
 
Quantum logic synthesis (srikanth)
Quantum logic synthesis (srikanth)Quantum logic synthesis (srikanth)
Quantum logic synthesis (srikanth)
 
Path Contraction Faster than 2^n
Path Contraction Faster than 2^nPath Contraction Faster than 2^n
Path Contraction Faster than 2^n
 
Fault Tolerant Parallel Filters Based On Bch Codes
Fault Tolerant Parallel Filters Based On Bch CodesFault Tolerant Parallel Filters Based On Bch Codes
Fault Tolerant Parallel Filters Based On Bch Codes
 
Design of Complex Adders and Parity Generators Using Reversible Gates
Design of Complex Adders and Parity Generators Using Reversible GatesDesign of Complex Adders and Parity Generators Using Reversible Gates
Design of Complex Adders and Parity Generators Using Reversible Gates
 
6th Semester Electronic and Communication Engineering (2012-June) Question Pa...
6th Semester Electronic and Communication Engineering (2012-June) Question Pa...6th Semester Electronic and Communication Engineering (2012-June) Question Pa...
6th Semester Electronic and Communication Engineering (2012-June) Question Pa...
 
Elliptic curve scalar multiplier using karatsuba
Elliptic curve scalar multiplier using karatsubaElliptic curve scalar multiplier using karatsuba
Elliptic curve scalar multiplier using karatsuba
 
04 -ece_3125_~_ece_3242_-_oct_10_202_-_assignment_1_-_due_oct_17_2012
04  -ece_3125_~_ece_3242_-_oct_10_202_-_assignment_1_-_due_oct_17_201204  -ece_3125_~_ece_3242_-_oct_10_202_-_assignment_1_-_due_oct_17_2012
04 -ece_3125_~_ece_3242_-_oct_10_202_-_assignment_1_-_due_oct_17_2012
 
Energy Efficient Full Adders for Arithmetic Applications Based on GDI Logic
Energy Efficient Full Adders for Arithmetic Applications Based on GDI LogicEnergy Efficient Full Adders for Arithmetic Applications Based on GDI Logic
Energy Efficient Full Adders for Arithmetic Applications Based on GDI Logic
 
Graphical Model Selection for Big Data
Graphical Model Selection for Big DataGraphical Model Selection for Big Data
Graphical Model Selection for Big Data
 
Reza Talk En Kf 09
Reza Talk En Kf 09Reza Talk En Kf 09
Reza Talk En Kf 09
 

Viewers also liked

Fighting Accident Using Eye Detection forSmartphones
Fighting Accident Using Eye Detection forSmartphonesFighting Accident Using Eye Detection forSmartphones
Fighting Accident Using Eye Detection forSmartphones
IJERA Editor
 

Viewers also liked (20)

L044056368
L044056368L044056368
L044056368
 
D0441722
D0441722D0441722
D0441722
 
Bv044448451
Bv044448451Bv044448451
Bv044448451
 
G044034350
G044034350G044034350
G044034350
 
M044048087
M044048087M044048087
M044048087
 
F044082128
F044082128F044082128
F044082128
 
C044061518
C044061518C044061518
C044061518
 
Bm044394397
Bm044394397Bm044394397
Bm044394397
 
H044083537
H044083537H044083537
H044083537
 
A Novel Approach on Photovoltaic Technologies for Power Injection in Grid Usi...
A Novel Approach on Photovoltaic Technologies for Power Injection in Grid Usi...A Novel Approach on Photovoltaic Technologies for Power Injection in Grid Usi...
A Novel Approach on Photovoltaic Technologies for Power Injection in Grid Usi...
 
Design of Low Pass Digital FIR Filter Using Cuckoo Search Algorithm
Design of Low Pass Digital FIR Filter Using Cuckoo Search AlgorithmDesign of Low Pass Digital FIR Filter Using Cuckoo Search Algorithm
Design of Low Pass Digital FIR Filter Using Cuckoo Search Algorithm
 
Personal Handy System Based Online Vehicle Tracking With Mobile Locking
Personal Handy System Based Online Vehicle Tracking With Mobile LockingPersonal Handy System Based Online Vehicle Tracking With Mobile Locking
Personal Handy System Based Online Vehicle Tracking With Mobile Locking
 
Fighting Accident Using Eye Detection forSmartphones
Fighting Accident Using Eye Detection forSmartphonesFighting Accident Using Eye Detection forSmartphones
Fighting Accident Using Eye Detection forSmartphones
 
Ba044324330
Ba044324330Ba044324330
Ba044324330
 
Achieving Reduced Area and Power with Multi Bit Flip-Flop When Implemented In...
Achieving Reduced Area and Power with Multi Bit Flip-Flop When Implemented In...Achieving Reduced Area and Power with Multi Bit Flip-Flop When Implemented In...
Achieving Reduced Area and Power with Multi Bit Flip-Flop When Implemented In...
 
Parametric Study of Square Concrete Filled Steel Tube Columns Subjected To Co...
Parametric Study of Square Concrete Filled Steel Tube Columns Subjected To Co...Parametric Study of Square Concrete Filled Steel Tube Columns Subjected To Co...
Parametric Study of Square Concrete Filled Steel Tube Columns Subjected To Co...
 
Egiptoelartedelaeternidad b n
Egiptoelartedelaeternidad b nEgiptoelartedelaeternidad b n
Egiptoelartedelaeternidad b n
 
Atividade completa
Atividade completaAtividade completa
Atividade completa
 
Marriott Cali
Marriott CaliMarriott Cali
Marriott Cali
 
Tutorial do Cdburner
Tutorial do CdburnerTutorial do Cdburner
Tutorial do Cdburner
 

Similar to Bk044382388

Similar to Bk044382388 (20)

Design of 4:16 decoder using reversible logic gates
Design of 4:16 decoder using reversible logic gatesDesign of 4:16 decoder using reversible logic gates
Design of 4:16 decoder using reversible logic gates
 
Efficient Design of Reversible Multiplexers with Low Quantum Cost
Efficient Design of Reversible Multiplexers with Low Quantum CostEfficient Design of Reversible Multiplexers with Low Quantum Cost
Efficient Design of Reversible Multiplexers with Low Quantum Cost
 
Low Power Reversible Parallel Binary Adder/Subtractor
Low Power Reversible Parallel Binary Adder/SubtractorLow Power Reversible Parallel Binary Adder/Subtractor
Low Power Reversible Parallel Binary Adder/Subtractor
 
Design of Digital Adder Using Reversible Logic
Design of Digital Adder Using Reversible LogicDesign of Digital Adder Using Reversible Logic
Design of Digital Adder Using Reversible Logic
 
A low power adder using reversible logic gates
A low power adder using reversible logic gatesA low power adder using reversible logic gates
A low power adder using reversible logic gates
 
A low power adder using reversible logic gates
A low power adder using reversible logic gatesA low power adder using reversible logic gates
A low power adder using reversible logic gates
 
Optimized study of one bit comparator using reversible logic gates
Optimized study of one bit comparator using reversible logic gatesOptimized study of one bit comparator using reversible logic gates
Optimized study of one bit comparator using reversible logic gates
 
Efficient Design of Ripple Carry Adder and Carry Skip Adder with Low Quantum ...
Efficient Design of Ripple Carry Adder and Carry Skip Adder with Low Quantum ...Efficient Design of Ripple Carry Adder and Carry Skip Adder with Low Quantum ...
Efficient Design of Ripple Carry Adder and Carry Skip Adder with Low Quantum ...
 
C046051216
C046051216C046051216
C046051216
 
Optimized study of one bit comparator using reversible
Optimized study of one bit comparator using reversibleOptimized study of one bit comparator using reversible
Optimized study of one bit comparator using reversible
 
IRJET- Design and Implementation of Combinational Circuits using Reversible G...
IRJET- Design and Implementation of Combinational Circuits using Reversible G...IRJET- Design and Implementation of Combinational Circuits using Reversible G...
IRJET- Design and Implementation of Combinational Circuits using Reversible G...
 
IRJET- Design and Implementation of Combinational Circuits using Reversib...
IRJET-  	  Design and Implementation of Combinational Circuits using Reversib...IRJET-  	  Design and Implementation of Combinational Circuits using Reversib...
IRJET- Design and Implementation of Combinational Circuits using Reversib...
 
Design of Reversible Sequential Circuit Using Reversible Logic Synthesis
Design of Reversible Sequential Circuit Using Reversible Logic SynthesisDesign of Reversible Sequential Circuit Using Reversible Logic Synthesis
Design of Reversible Sequential Circuit Using Reversible Logic Synthesis
 
Reversible Implementation of Multiplexer and Demultiplexer Using R-Gates
Reversible Implementation of Multiplexer and Demultiplexer Using R-GatesReversible Implementation of Multiplexer and Demultiplexer Using R-Gates
Reversible Implementation of Multiplexer and Demultiplexer Using R-Gates
 
W34137142
W34137142W34137142
W34137142
 
S4102152159
S4102152159S4102152159
S4102152159
 
International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI) International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI)
 
International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)
 
An Extensive Literature Review on Reversible Arithmetic and Logical Unit
An Extensive Literature Review on Reversible Arithmetic and Logical UnitAn Extensive Literature Review on Reversible Arithmetic and Logical Unit
An Extensive Literature Review on Reversible Arithmetic and Logical Unit
 
SCOPE OF REVERSIBLE ENGINEERING AT GATE-LEVEL: FAULT-TOLERANT COMBINATIONAL A...
SCOPE OF REVERSIBLE ENGINEERING AT GATE-LEVEL: FAULT-TOLERANT COMBINATIONAL A...SCOPE OF REVERSIBLE ENGINEERING AT GATE-LEVEL: FAULT-TOLERANT COMBINATIONAL A...
SCOPE OF REVERSIBLE ENGINEERING AT GATE-LEVEL: FAULT-TOLERANT COMBINATIONAL A...
 

Recently uploaded

Modular Monolith - a Practical Alternative to Microservices @ Devoxx UK 2024
Modular Monolith - a Practical Alternative to Microservices @ Devoxx UK 2024Modular Monolith - a Practical Alternative to Microservices @ Devoxx UK 2024
Modular Monolith - a Practical Alternative to Microservices @ Devoxx UK 2024
Victor Rentea
 

Recently uploaded (20)

How to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerHow to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected Worker
 
Emergent Methods: Multi-lingual narrative tracking in the news - real-time ex...
Emergent Methods: Multi-lingual narrative tracking in the news - real-time ex...Emergent Methods: Multi-lingual narrative tracking in the news - real-time ex...
Emergent Methods: Multi-lingual narrative tracking in the news - real-time ex...
 
Boost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdfBoost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdf
 
Apidays New York 2024 - APIs in 2030: The Risk of Technological Sleepwalk by ...
Apidays New York 2024 - APIs in 2030: The Risk of Technological Sleepwalk by ...Apidays New York 2024 - APIs in 2030: The Risk of Technological Sleepwalk by ...
Apidays New York 2024 - APIs in 2030: The Risk of Technological Sleepwalk by ...
 
Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024
 
Connector Corner: Accelerate revenue generation using UiPath API-centric busi...
Connector Corner: Accelerate revenue generation using UiPath API-centric busi...Connector Corner: Accelerate revenue generation using UiPath API-centric busi...
Connector Corner: Accelerate revenue generation using UiPath API-centric busi...
 
DBX First Quarter 2024 Investor Presentation
DBX First Quarter 2024 Investor PresentationDBX First Quarter 2024 Investor Presentation
DBX First Quarter 2024 Investor Presentation
 
Modular Monolith - a Practical Alternative to Microservices @ Devoxx UK 2024
Modular Monolith - a Practical Alternative to Microservices @ Devoxx UK 2024Modular Monolith - a Practical Alternative to Microservices @ Devoxx UK 2024
Modular Monolith - a Practical Alternative to Microservices @ Devoxx UK 2024
 
[BuildWithAI] Introduction to Gemini.pdf
[BuildWithAI] Introduction to Gemini.pdf[BuildWithAI] Introduction to Gemini.pdf
[BuildWithAI] Introduction to Gemini.pdf
 
Strategies for Landing an Oracle DBA Job as a Fresher
Strategies for Landing an Oracle DBA Job as a FresherStrategies for Landing an Oracle DBA Job as a Fresher
Strategies for Landing an Oracle DBA Job as a Fresher
 
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...
 
ICT role in 21st century education and its challenges
ICT role in 21st century education and its challengesICT role in 21st century education and its challenges
ICT role in 21st century education and its challenges
 
DEV meet-up UiPath Document Understanding May 7 2024 Amsterdam
DEV meet-up UiPath Document Understanding May 7 2024 AmsterdamDEV meet-up UiPath Document Understanding May 7 2024 Amsterdam
DEV meet-up UiPath Document Understanding May 7 2024 Amsterdam
 
FWD Group - Insurer Innovation Award 2024
FWD Group - Insurer Innovation Award 2024FWD Group - Insurer Innovation Award 2024
FWD Group - Insurer Innovation Award 2024
 
Apidays New York 2024 - The value of a flexible API Management solution for O...
Apidays New York 2024 - The value of a flexible API Management solution for O...Apidays New York 2024 - The value of a flexible API Management solution for O...
Apidays New York 2024 - The value of a flexible API Management solution for O...
 
presentation ICT roal in 21st century education
presentation ICT roal in 21st century educationpresentation ICT roal in 21st century education
presentation ICT roal in 21st century education
 
Exploring Multimodal Embeddings with Milvus
Exploring Multimodal Embeddings with MilvusExploring Multimodal Embeddings with Milvus
Exploring Multimodal Embeddings with Milvus
 
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost SavingRepurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
 
Polkadot JAM Slides - Token2049 - By Dr. Gavin Wood
Polkadot JAM Slides - Token2049 - By Dr. Gavin WoodPolkadot JAM Slides - Token2049 - By Dr. Gavin Wood
Polkadot JAM Slides - Token2049 - By Dr. Gavin Wood
 
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
 

Bk044382388

  • 1. V. G. Santhi Swaroop et al Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 4, Issue 4( Version 1), April 2014, pp.382-388 www.ijera.com 382 | P a g e Implementation of Optimized Reversible Sequential and Combinational Circuits for VLSI Applications P. Mohan Krishna**, V. G. Santhi Swaroop**, S. Harika*, N. Sravya*, V. Vani* (** Assistant Professor, Department of Electronics and Communication Engineering, SSCE, Chilakapalem) (* ug-student, Department of Electronics and Communication Engineering, SSCE, Chilakapalem) ABSTRACT Reversible logic has emerged as one of the most important approaches for the power optimization with its application in low power VLSI design. They are also the fundamental requirement for the emerging field of the Quantum computing having with applications in the domains like Nano-technology, Digital signal processing, Cryptography, Communications. Implementing the reversible logic has the advantages of reducing gate counts, garbage outputs as well as constant inputs. In this project we present sequential and combinational circuit with reversible logic gates which are simulated in Xilinx ISE and by writing the code in VHDL . we have proposed a new design technique of BCD Adder using newly constructed reversible gates are based on CMOS with pass transistor gates . Here the total reversible Adder is designed using EDA tools. We will analyze the VLSI limitations like power consumption and area of designed circuits. Keywords: sequential circuits, combinational circuits Reversible logic gates, Xilinx and EDA I. INTRODUCTION Reversible logic has received great attention in the recent years due to their ability to reduce the power dissipation which is the main requirement in low power VLSI design. Quantum computers are constructed using reversible logic circuits. It has wide applications in low power CMOS and Optical information processing, DNA computing, quantum computation and nanotechnology. Now-a-days, the electronic systems are an integral part of a human‟s life. As technology develops, the complexity of the system also increases. This gives rise to increase in power consumption, which is a great issue faced by the world today. One of the causes for high power dissipation is rapid switching of internal signals. Almost all the electronic systems are designed using conventional logic gates, which are irreversible. For each computation in these systems some information (bits) is lost or erased. In 1961, Landauer showed that for each lost bit, a heat of KT ln 2 joules is being generated, where K (1.38x10-23 J/K) is Boltzmann‟s constant and T is temperature at which computation takes place. According to Moore‟s law, there is an exponential growth in the heat generated due to information loss, which will reach an intolerable amount in the recent decades. This heat dissipation reduces the performance and lifetime of the circuits. In this paper we design combinational and sequential circuits using reversible logic gates using Xilinx ISE tool and 8 bit adder circuit was designed using microwind tool. II. REVERSIBLE LOGIC GATES The simplest Reversible gate is NOT gate and is a 1*1 gate. Controlled NOT (CNOT) gate is an example for a 2*2 gate. There are many 3*3 Reversible gates such as F, TG, PG and TR gate. The Quantum Cost of 1*1 Reversible gates is zero, and Quantum Cost of 2*2 Reversible gates is one. Any Reversible gate is realized by using 1*1 NOT gates and 2*2 Reversible gates, such as V, V+ and FG gate which is also known as CNOT gate. The Reversible 1*1 gate is NOT Gate with zero Quantum Cost is as shown in the Figure 1. The Reversible 2*2 gate with Quantum Cost of one having mapping input (A, B) to output (P = A, Q = A B) is as shown in the Figure 2. RESEARCH ARTICLE OPEN ACCESS
  • 2. V. G. Santhi Swaroop et al Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 4, Issue 4( Version 1), April 2014, pp.382-388 www.ijera.com 383 | P a g e A ToffoliGate (TG) is a 3×3 two-through reversible gate as shown in figure Two-throughmeans two of its outputs are the same as inputs with themapping (A, B, C) to (P = A, Q = B, R = A • B ⊕ C), where A, B, C are inputs and P, Q, R are outputs, respectively. Toffoli gate is one of the most popular reversible gates and has quantum cost of 5 as shown in Figure. The quantum cost of Toffoli gate is 5 as it needs 2 Controlled-V gates, 1 Controlled-V+ gate and 2 CNOT gates to implement it. P, Q, R are the outputs, respectively. Figure shows the Peres gate and Figure shows the quantum implementation of the Peres gate (PG) with quantum cost of 4. The quantum cost of Peres gate is 4 since it requires 2 Controlled-V+ gates, 1 Controlled-V gate and 1 CNOT gate in its design. In the existing literature, among the 3 * 3 reversible gate, Peres gate has the minimum quantum cost. A Fredkin gate is a (3×3) conservative reversible gate, having the mapping (A, B, C) to (P = A, Q = A’B + AC, R = AB + A’C), where A, B, C are the inputs and P, Q, R are the outputs, respectively [Fredkin and Toffoli 1982]. It is called a 3×3 gate because it has three inputs and three outputs. III. DESIGN OF REVERSIBLE LATCHES In this section, we present novel designs of reversible latches that are optimized in terms of quantum cost, delay, and the number of garbage outputs III.1 The SR Latch: The SR latch can be designed using two cross-coupled NOR gates or two cross-couple NAND gates. The S input sets the latch to 1, while the R input resets the latch to 0. Fig 1: SR Latch Fig 2 : Pares gate based SR-Latch Fig 3: results for SR-Latch
  • 3. V. G. Santhi Swaroop et al Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 4, Issue 4( Version 1), April 2014, pp.382-388 www.ijera.com 384 | P a g e Fig 4: results for Pares gate based SR-Latch Fig 5: New design of reverse SR-Latch III.2 The D Latch: The characteristic equation of the D latch can be written as Q+ = D· E+ ¯E · Q. When the enable signal(clock) is 1, the value of the input D is reflected at the output that is Q+ = D. While, when E = 0 the latchmaintains its previous state, that is Q+ = Q. The characteristic equation of the D latch can be mapped to the Fredkin gate (F) as it matches the template of the Fredkin gate. Fig 6: Fredkin based D-Latch Fig 7: Results for Fredkin based D-Latch Fig 8: Reversible D-Latch with Q and Q’ Fig 9: Results for reversible D-Latch with Q and Q’ III. 3 The T Latch: The characteristic equation of the T latch can bewritten as Q+ = (T ·Q)·E+¯E·Q. But the same result can also be obtained from Q+ = (T · E) ⊕Q. The T(toggle) latch is a complementing latch which complements its value when T = 1, that is when T = 1 and E = 1 we have Q+ = Q’. When T = 0, the T latch maintains its state and we have no change in the output. The T latch characteristic equation can be directly mapped to the Peres gate and the fanout at output Q can be avoided by cascading the Feynman gate. The design has the quantum cost of 5, delay of 5 1 and requires 2 garbage outputs. Fig 10: Peres gate based T Latch
  • 4. V. G. Santhi Swaroop et al Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 4, Issue 4( Version 1), April 2014, pp.382-388 www.ijera.com 385 | P a g e Fig 11: Results Peres gate based T Latch Fig 12:reversible Peres gate based T Latch with Q and Q’ Fig 13 :Results for reversible Peres gate based T Latch with Q and Q’ III.4 DESIGN OF THE REVERSIBLE MASTER- SLAVE FLIP-FLOPS: The reversible master-slave flip-flops were first presented in Thapliyal et al. in which the authors had used the strategy of using one latch as amaster and the other latch as a slave to design the reversible flip-flops. The same strategy was followed in Rice, Thapliyal and Vinod , and Chuang andWang . The proposed work is also based on the same strategy and the goal is to optimize the quantum cost and the delay of the flip-flops along with the garbage outputs. All the existing reversible master-slave flip-flop designs require the clock to be inverted for use in the slave latch. The proposed masterslave flip-flops designs have a special characteristic of not requiring the clock to be inverted for use in the slave latch. This is because as they use the negative enable D latch as the slave latch, thus no clock inversion is required. Fig 14:Reversible master slave d flipflop Fig 15 : Reversible master slave T-flip flop IV. DESIGN OF REVERSIBLE COMBINATIONAL CIRCUITS: IV.1 MUX Gate: the pictorial representation of 3×3 reversible gate called MUX (MG) Gate. It is a conservative gate havig three inputs (A, B, C) and three outputs (P, Q, R). The outputs are defined by P=A, Q=A XOR B XOR C and R= A‟C XOR AB. The hamming weight of its input vector is same as the hamming weight of its output vector and its Quantum cost is 4.
  • 5. V. G. Santhi Swaroop et al Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 4, Issue 4( Version 1), April 2014, pp.382-388 www.ijera.com 386 | P a g e IV.2 Frdkingated based fanout circuit: Fig 16: Fanout circuit IV.3 Fredkin gate based asynchronous reset circuit: Fig 17 : Results for asynchronous reset circuit IV.4 Half adder: Fig 18 : half adder circuit IV.5 Full adder: Fig 19 : full adder circuit IV.6 Subs tractor circuit: Fig 20 : results for subs tractor circuit IV.7 Feynman gate used as buffer and not gate: Fig 21: results for buffer and not gate
  • 6. V. G. Santhi Swaroop et al Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 4, Issue 4( Version 1), April 2014, pp.382-388 www.ijera.com 387 | P a g e IV.8 Half adder /subtractor circuit : Here the circuit was designed using Dsch 2.0 and microwind tool . Fig 22: circuit for half adder/subtractor circuit. Fig 23: results for half adder/sbtractor circuit Fig 24: layout for half adder/sbtractor circuit IV.9 Full adder / subtractor: Fig 23 : circuit for full adder/subtrator Fig 24: results for full adder/subtrator. IV.10 8bit full adder /subtracor: Fig 25: 8bit full adder/subtrator. V. CONCLUSION In this work we have presented novel designs of reversible latches and flipflops, which are being optimized in terms of quantum cost, delay, and garbage outputs. The present work differs from the existing approaches in the literature that have optimized the reversible sequential circuit designs in terms of number of reversible gates and garbage outputs. We have also discussed the new designs of reversible D latch and D flip-flop. In this paper we designed sequential and combinational circuit in reversible logic circuits for
  • 7. V. G. Santhi Swaroop et al Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 4, Issue 4( Version 1), April 2014, pp.382-388 www.ijera.com 388 | P a g e high performance and low quantum cost for vlsi applications. REFERENCES [1] BANERJEE, A. AND PATHAK, A. 2007. On the synthesis of sequential reversible circuit. [2] FRANK, M. 2005b. Introduction to reversible computing: motivation, progress, and challenges. [3] .”Design of low power arithmetic unit based on reversible logic” in International Journal of VLSI and signal processing applications, [4] ”A novel design of reversible serial and parallel adder/subtractor” in International journal of engineering science and technology. [5] Low power reversible parallel binary adder/subtractor by Rangaraju H.G, Venugopal U, Muralidhara K.N, Raja K.B. [6] ”Optimal design of a reversible full adder” in International Journal of unconventional computing by Yvan Van Rentergen and Alexis De Vos. [7] T. Toffoli, “Reversible Computing”, Tech Memo MIT/LCS/TM-151, MIT Laboratory for Computer Science, 1980 [8] R. P. Feynman, “Quantum Mechanical Computers”, Optic News, Vol.11, pp.11-20, February 1985 [9] Devendra Goyal, Vidhi Sharma, “VHDL Implementation of Reversible Logic Gates”, International Journal of Advanced Technology and Engineering Research, Vol.2, Issue.3, pp.157-163, May 2012