SlideShare a Scribd company logo
1 of 4
Download to read offline
vasanza 1
FUNDAMENTOS DEL DISEÑO DIGITAL
LECCIÓN 1P (30/100)
Fecha: 2019/06/26 I termino 2019-2020
Nombre: _________________________________________________ Paralelo: __________
Problema #1: (10%)
Dado el siguiente código en VHDL, ¿cuál de las siguientes respuestas explica la relación entre señales de
salida y entrada?
a) 𝐿𝑎 𝑠𝑒ñ𝑎𝑙 𝑑𝑒 𝑠𝑎𝑙𝑖𝑑𝑎 𝑸 𝑡𝑖𝑒𝑛𝑒 𝑒𝑙 𝑣𝑎𝑙𝑜𝑟 𝑑𝑒 𝑨 + 𝑩 𝑠𝑖 𝑙𝑎 𝑠𝑒ñ𝑎𝑙 𝒔 𝑒𝑠 𝟏 𝑦 𝑑𝑒 𝑨 𝑠𝑖 𝒔 𝑒𝑠 𝟎.
b) 𝐿𝑎 𝑠𝑒ñ𝑎𝑙 𝑑𝑒 𝑠𝑎𝑙𝑖𝑑𝑎 𝑸 𝑡𝑖𝑒𝑛𝑒 𝑒𝑙 𝑣𝑎𝑙𝑜𝑟 𝑑𝑒 𝑨 𝑠𝑖 𝑙𝑎 𝑠𝑒ñ𝑎𝑙 𝒔 𝑒𝑠 𝟎 𝑦 𝑑𝑒 𝑨𝒙𝒐𝒓𝑩 𝑠𝑖 𝒔 𝑒𝑠 𝟏.
c) 𝐿𝑎 𝑠𝑒ñ𝑎𝑙 𝑑𝑒 𝑠𝑎𝑙𝑖𝑑𝑎 𝑸 𝑡𝑖𝑒𝑛𝑒 𝑒𝑙 𝑣𝑎𝑙𝑜𝑟 𝑑𝑒 𝑨 𝑠𝑖 𝑙𝑎 𝑠𝑒ñ𝑎𝑙 𝒔 𝑒𝑠 𝟏 𝑦 𝑑𝑒 𝑩 𝑠𝑖 𝒔 𝑒𝑠 𝟎.
d) 𝐿𝑎 𝑠𝑒ñ𝑎𝑙 𝑑𝑒 𝑠𝑎𝑙𝑖𝑑𝑎 𝑸 𝑡𝑖𝑒𝑛𝑒 𝑒𝑙 𝑣𝑎𝑙𝑜𝑟 𝑑𝑒 𝑨 𝑠𝑖 𝑙𝑎 𝑠𝑒ñ𝑎𝑙 𝒔 𝑒𝑠 𝟎 𝑦 𝑑𝑒 𝑩 𝑠𝑖 𝒔 𝑒𝑠 𝟏.
Problema #2: (10%)
Cuál de las siguientes afirmaciones NO es correcta:
a) Siempre se debe incluir las librerías: LIBRARY ieee; use ieee.std_logic_1164.all;
b) En la declaración de entidades, se definen las señales de entrada y salida de un circuito, con el
siguiente nivel de detalle: nombres, tamaño (de 0 a n bits), modo (entrada, salida, ...),
comportamiento de las señales (asignación de señales, condicionales y selección) y tipo (integer,
bit,...).
c) El modo BUFFER se utiliza en señales que además de salir de la entidad, pueden usarse como
señales realimentadas.
d) Si quiero usar el tipo de dato Std_logic_Vector en operaciones aritméticas sin signo y en
operaciones de Relación, debo incluir la librería: use ieee.std_logic_unsigned.all;
e) Si quiero usar el tipo de dato Std_logic_Vector en operaciones aritméticas con signo y en
operaciones de Relación, debo incluir la librería: use ieee.std_logic_signed.all;
vasanza 2
Problema #3: (20%)
Dado el siguiente código en VHDL, ¿cuál de los siguientes mapas de karnaugh describe la relación entre
señales de salida y entrada?
a)
Q:
𝐼0 𝐼1 𝑆1,𝑆0 00 01 11 10
00 0 0 𝐼2 𝐼3
01 1 1 𝐼2 𝐼3
11 0 0 𝐼2 𝐼3
10 1 1 𝐼2 𝐼3
b)
Q:
𝐼0 𝐼1 𝑆1,𝑆0 00 01 11 10
00 0 0 𝐼3 𝐼2
01 1 1 𝐼3 𝐼2
11 0 0 𝐼3 𝐼2
10 1 1 𝐼3 𝐼2
c)
Q:
𝐼0 𝐼1 𝑆1,𝑆0 00 01 11 10
00 0 0 𝐼3 𝐼2
01 1 0 𝐼3 𝐼2
11 0 1 𝐼3 𝐼2
10 1 1 𝐼3 𝐼2
d)
Q:
𝐼0 𝐼1 𝑆1,𝑆0 00 01 11 10
00 0 0 𝐼3 𝐼2
01 0 1 𝐼3 𝐼2
11 1 1 𝐼3 𝐼2
10 1 0 𝐼3 𝐼2
Problema #4: (10%)
Cuál de las siguientes afirmaciones referentes al package NO es correcta:
a) Para enlistar los componentes en el package usamos la palabra reservada COMPONENT y al final
END COMPONENT. En reemplazo al ENTITY y al END nombre_entity, respectivamente.
b) Para utilizar el package debemos incluir en las librerías: use work.nombre_package.all;
c) Si utilizo package también debo enlistar en la arquitectura los componentes mapeados.
d) El archivo nombre_package.vhdl deberá estar en la misma carpeta donde esté el archivo VHDL
que lo utilice.
vasanza 3
Problema #5: (20%)
Identificar cuál de los circuitos indicados en los literales, corresponde al código VHDL siguiente:
Library ieee;
Use ieee.std_logic_1164.all;
Use ieee.std_logic_signed.all;
Entity hsuk is
Port(A,B,C: in std_logic;
S: out std_logic);
End husk;
architecture yppirk of hsuk is
-- declaración de señales
signal X: bit_vector(1 to 8);
-- declaración de componentes
component NAND
port (I1, I2: in bit;
O: out bit);
end component;
begin
--instanciación de componentes
U1: NAND port map (A, A, X(1));
U2: NAND port map (B, B, X(2));
U3: NAND port map (B, A, X(3));
U4: NAND port map (X(1), X(2), X(4));
U5: NAND port map (X(3), X(3), X(5));
U6: NAND port map (X(4), X(4), X(6));
U7: NAND port map (X(5), C, X(7));
U8: NAND port map (C, X(6), X(8));
U9: NAND port map (X(8), X(7), S);
end yppirk;
a)
b)
c)
d)
vasanza 4
Problema #6: (20%)
Dado el siguiente código en VHDL, ¿cuál de los siguientes mapas de karnaugh NO describe la relación
entre señales de salida y entrada?
a)
𝑆𝐸𝐺76−4:
𝐵𝐶𝐷1 𝐵𝐶𝐷0 𝐵𝐶𝐷3 𝐵𝐶𝐷2 00 01 11 10
00 000 100 111 000
01 100 010 111 000
11 000 000 111 111
10 001 010 111 111
b)
𝑆𝐸𝐺75−3:
𝐵𝐶𝐷1 𝐵𝐶𝐷0 𝐵𝐶𝐷3 𝐵𝐶𝐷2 00 01 11 10
00 000 001 111 000
01 001 100 111 000
11 000 001 111 111
10 010 100 111 111
c)
𝑆𝐸𝐺74−2:
𝐵𝐶𝐷1 𝐵𝐶𝐷0 𝐵𝐶𝐷3 𝐵𝐶𝐷2 00 01 11 10
00 000 011 111 000
01 011 001 111 001
11 001 011 111 111
10 100 000 111 111
d)
𝑆𝐸𝐺73−1:
𝐵𝐶𝐷1 𝐵𝐶𝐷0 𝐵𝐶𝐷3 𝐵𝐶𝐷2 00 01 11 10
00 000 001 111 000
01 111 101 111 010
11 011 000 111 111
10 001 111 111 111
Problema #7: (10%)
Cuál de las siguientes afirmaciones NO es correcta:
a) Asignación directa: Debo conocer la expresión booleana que define la señal de salida. Permite
asignar a las salidas solo dos valores booleanos 1 o 0.
b) Asignación Condicional: Es necesario conocer de forma descriptiva el comportamiento de las
señales de salida. Permite asignar una expresiones booleanas y valores como ‘-’ y ‘z’.
c) Asignación por selección: Necesitamos tener a la mano la tabla de verdad que define el
comportamiento de las señales de salida. Permite asignar múltiples valores a las señales de salida:
‘1’, ‘0’, ‘-’ y ‘z’.
d) Ninguna de las anteriores.

More Related Content

More from Victor Asanza

⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 2do Parcial (2021 PAE)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 2do Parcial (2021 PAE)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 2do Parcial (2021 PAE)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 2do Parcial (2021 PAE)
Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 2, Mejoramiento (2020 PAO 2)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 2, Mejoramiento (2020 PAO 2)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 2, Mejoramiento (2020 PAO 2)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 2, Mejoramiento (2020 PAO 2)
Victor Asanza
 

More from Victor Asanza (20)

⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB
⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB ⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB
⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB
 
⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...
⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...
⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
 
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6
 
⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...
⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...
⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
 
⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...
⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...
⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...
 
⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station
⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station
⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station
 
⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi
⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi
⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi
 
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
 
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)
 
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, PROYECTOS PROPUESTOS (2021 PAE)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, PROYECTOS PROPUESTOS (2021 PAE)⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, PROYECTOS PROPUESTOS (2021 PAE)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, PROYECTOS PROPUESTOS (2021 PAE)
 
⭐⭐⭐⭐⭐ Localización en ambiente de interiores basado en Machine Learning con r...
⭐⭐⭐⭐⭐ Localización en ambiente de interiores basado en Machine Learning con r...⭐⭐⭐⭐⭐ Localización en ambiente de interiores basado en Machine Learning con r...
⭐⭐⭐⭐⭐ Localización en ambiente de interiores basado en Machine Learning con r...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 2do Parcial (2021 PAE)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 2do Parcial (2021 PAE)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 2do Parcial (2021 PAE)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 2do Parcial (2021 PAE)
 
⭐⭐⭐⭐⭐ CHARLA #PUCESE: Industrial Automation and Internet of Things Based on O...
⭐⭐⭐⭐⭐ CHARLA #PUCESE: Industrial Automation and Internet of Things Based on O...⭐⭐⭐⭐⭐ CHARLA #PUCESE: Industrial Automation and Internet of Things Based on O...
⭐⭐⭐⭐⭐ CHARLA #PUCESE: Industrial Automation and Internet of Things Based on O...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAE)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAE)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAE)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAE)
 
⭐⭐⭐⭐⭐ IX Jornadas Académicas y I Congreso Científico de Ciencias e Ingeniería...
⭐⭐⭐⭐⭐ IX Jornadas Académicas y I Congreso Científico de Ciencias e Ingeniería...⭐⭐⭐⭐⭐ IX Jornadas Académicas y I Congreso Científico de Ciencias e Ingeniería...
⭐⭐⭐⭐⭐ IX Jornadas Académicas y I Congreso Científico de Ciencias e Ingeniería...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 2, Mejoramiento (2020 PAO 2)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 2, Mejoramiento (2020 PAO 2)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 2, Mejoramiento (2020 PAO 2)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 2, Mejoramiento (2020 PAO 2)
 
⭐⭐⭐⭐⭐ CHARLA PUCESE: Telemetría De Consumo De Energía Eléctrica Basado En Har...
⭐⭐⭐⭐⭐ CHARLA PUCESE: Telemetría De Consumo De Energía Eléctrica Basado En Har...⭐⭐⭐⭐⭐ CHARLA PUCESE: Telemetría De Consumo De Energía Eléctrica Basado En Har...
⭐⭐⭐⭐⭐ CHARLA PUCESE: Telemetría De Consumo De Energía Eléctrica Basado En Har...
 

Recently uploaded

RESOLUCIÓN VICEMINISTERIAL 00048 - 2024 EVALUACION
RESOLUCIÓN VICEMINISTERIAL 00048 - 2024 EVALUACIONRESOLUCIÓN VICEMINISTERIAL 00048 - 2024 EVALUACION
RESOLUCIÓN VICEMINISTERIAL 00048 - 2024 EVALUACION
amelia poma
 
6°_GRADO_-_MAYO_06 para sexto grado de primaria
6°_GRADO_-_MAYO_06 para sexto grado de primaria6°_GRADO_-_MAYO_06 para sexto grado de primaria
6°_GRADO_-_MAYO_06 para sexto grado de primaria
Wilian24
 

Recently uploaded (20)

Tema 10. Dinámica y funciones de la Atmosfera 2024
Tema 10. Dinámica y funciones de la Atmosfera 2024Tema 10. Dinámica y funciones de la Atmosfera 2024
Tema 10. Dinámica y funciones de la Atmosfera 2024
 
Revista Apuntes de Historia. Mayo 2024.pdf
Revista Apuntes de Historia. Mayo 2024.pdfRevista Apuntes de Historia. Mayo 2024.pdf
Revista Apuntes de Historia. Mayo 2024.pdf
 
Biografía de Charles Coulomb física .pdf
Biografía de Charles Coulomb física .pdfBiografía de Charles Coulomb física .pdf
Biografía de Charles Coulomb física .pdf
 
Posición astronómica y geográfica de Europa.pptx
Posición astronómica y geográfica de Europa.pptxPosición astronómica y geográfica de Europa.pptx
Posición astronómica y geográfica de Europa.pptx
 
1ro Programación Anual D.P.C.C planificación anual del área para el desarroll...
1ro Programación Anual D.P.C.C planificación anual del área para el desarroll...1ro Programación Anual D.P.C.C planificación anual del área para el desarroll...
1ro Programación Anual D.P.C.C planificación anual del área para el desarroll...
 
RESOLUCIÓN VICEMINISTERIAL 00048 - 2024 EVALUACION
RESOLUCIÓN VICEMINISTERIAL 00048 - 2024 EVALUACIONRESOLUCIÓN VICEMINISTERIAL 00048 - 2024 EVALUACION
RESOLUCIÓN VICEMINISTERIAL 00048 - 2024 EVALUACION
 
Desarrollo y Aplicación de la Administración por Valores
Desarrollo y Aplicación de la Administración por ValoresDesarrollo y Aplicación de la Administración por Valores
Desarrollo y Aplicación de la Administración por Valores
 
PINTURA DEL RENACIMIENTO EN ESPAÑA (SIGLO XVI).ppt
PINTURA DEL RENACIMIENTO EN ESPAÑA (SIGLO XVI).pptPINTURA DEL RENACIMIENTO EN ESPAÑA (SIGLO XVI).ppt
PINTURA DEL RENACIMIENTO EN ESPAÑA (SIGLO XVI).ppt
 
La Sostenibilidad Corporativa. Administración Ambiental
La Sostenibilidad Corporativa. Administración AmbientalLa Sostenibilidad Corporativa. Administración Ambiental
La Sostenibilidad Corporativa. Administración Ambiental
 
ACRÓNIMO DE PARÍS PARA SU OLIMPIADA 2024. Por JAVIER SOLIS NOYOLA
ACRÓNIMO DE PARÍS PARA SU OLIMPIADA 2024. Por JAVIER SOLIS NOYOLAACRÓNIMO DE PARÍS PARA SU OLIMPIADA 2024. Por JAVIER SOLIS NOYOLA
ACRÓNIMO DE PARÍS PARA SU OLIMPIADA 2024. Por JAVIER SOLIS NOYOLA
 
Novena de Pentecostés con textos de san Juan Eudes
Novena de Pentecostés con textos de san Juan EudesNovena de Pentecostés con textos de san Juan Eudes
Novena de Pentecostés con textos de san Juan Eudes
 
Procedimientos para la planificación en los Centros Educativos tipo V ( multi...
Procedimientos para la planificación en los Centros Educativos tipo V ( multi...Procedimientos para la planificación en los Centros Educativos tipo V ( multi...
Procedimientos para la planificación en los Centros Educativos tipo V ( multi...
 
Sesión de clase APC: Los dos testigos.pdf
Sesión de clase APC: Los dos testigos.pdfSesión de clase APC: Los dos testigos.pdf
Sesión de clase APC: Los dos testigos.pdf
 
Usos y desusos de la inteligencia artificial en revistas científicas
Usos y desusos de la inteligencia artificial en revistas científicasUsos y desusos de la inteligencia artificial en revistas científicas
Usos y desusos de la inteligencia artificial en revistas científicas
 
PP_Comunicacion en Salud: Objetivación de signos y síntomas
PP_Comunicacion en Salud: Objetivación de signos y síntomasPP_Comunicacion en Salud: Objetivación de signos y síntomas
PP_Comunicacion en Salud: Objetivación de signos y síntomas
 
Interpretación de cortes geológicos 2024
Interpretación de cortes geológicos 2024Interpretación de cortes geológicos 2024
Interpretación de cortes geológicos 2024
 
BIOMETANO SÍ, PERO NO ASÍ. LA NUEVA BURBUJA ENERGÉTICA
BIOMETANO SÍ, PERO NO ASÍ. LA NUEVA BURBUJA ENERGÉTICABIOMETANO SÍ, PERO NO ASÍ. LA NUEVA BURBUJA ENERGÉTICA
BIOMETANO SÍ, PERO NO ASÍ. LA NUEVA BURBUJA ENERGÉTICA
 
Plan-de-la-Patria-2019-2025- TERCER PLAN SOCIALISTA DE LA NACIÓN.pdf
Plan-de-la-Patria-2019-2025- TERCER PLAN SOCIALISTA DE LA NACIÓN.pdfPlan-de-la-Patria-2019-2025- TERCER PLAN SOCIALISTA DE LA NACIÓN.pdf
Plan-de-la-Patria-2019-2025- TERCER PLAN SOCIALISTA DE LA NACIÓN.pdf
 
PLAN DE REFUERZO ESCOLAR MERC 2024-2.docx
PLAN DE REFUERZO ESCOLAR MERC 2024-2.docxPLAN DE REFUERZO ESCOLAR MERC 2024-2.docx
PLAN DE REFUERZO ESCOLAR MERC 2024-2.docx
 
6°_GRADO_-_MAYO_06 para sexto grado de primaria
6°_GRADO_-_MAYO_06 para sexto grado de primaria6°_GRADO_-_MAYO_06 para sexto grado de primaria
6°_GRADO_-_MAYO_06 para sexto grado de primaria
 

⭐⭐⭐⭐⭐ FUNDAMENTOS DEL DISEÑO DIGITAL, LECCIÓN A RESUELTA 1er PARCIAL (2019 1er Término)

  • 1. vasanza 1 FUNDAMENTOS DEL DISEÑO DIGITAL LECCIÓN 1P (30/100) Fecha: 2019/06/26 I termino 2019-2020 Nombre: _________________________________________________ Paralelo: __________ Problema #1: (10%) Dado el siguiente código en VHDL, ¿cuál de las siguientes respuestas explica la relación entre señales de salida y entrada? a) 𝐿𝑎 𝑠𝑒ñ𝑎𝑙 𝑑𝑒 𝑠𝑎𝑙𝑖𝑑𝑎 𝑸 𝑡𝑖𝑒𝑛𝑒 𝑒𝑙 𝑣𝑎𝑙𝑜𝑟 𝑑𝑒 𝑨 + 𝑩 𝑠𝑖 𝑙𝑎 𝑠𝑒ñ𝑎𝑙 𝒔 𝑒𝑠 𝟏 𝑦 𝑑𝑒 𝑨 𝑠𝑖 𝒔 𝑒𝑠 𝟎. b) 𝐿𝑎 𝑠𝑒ñ𝑎𝑙 𝑑𝑒 𝑠𝑎𝑙𝑖𝑑𝑎 𝑸 𝑡𝑖𝑒𝑛𝑒 𝑒𝑙 𝑣𝑎𝑙𝑜𝑟 𝑑𝑒 𝑨 𝑠𝑖 𝑙𝑎 𝑠𝑒ñ𝑎𝑙 𝒔 𝑒𝑠 𝟎 𝑦 𝑑𝑒 𝑨𝒙𝒐𝒓𝑩 𝑠𝑖 𝒔 𝑒𝑠 𝟏. c) 𝐿𝑎 𝑠𝑒ñ𝑎𝑙 𝑑𝑒 𝑠𝑎𝑙𝑖𝑑𝑎 𝑸 𝑡𝑖𝑒𝑛𝑒 𝑒𝑙 𝑣𝑎𝑙𝑜𝑟 𝑑𝑒 𝑨 𝑠𝑖 𝑙𝑎 𝑠𝑒ñ𝑎𝑙 𝒔 𝑒𝑠 𝟏 𝑦 𝑑𝑒 𝑩 𝑠𝑖 𝒔 𝑒𝑠 𝟎. d) 𝐿𝑎 𝑠𝑒ñ𝑎𝑙 𝑑𝑒 𝑠𝑎𝑙𝑖𝑑𝑎 𝑸 𝑡𝑖𝑒𝑛𝑒 𝑒𝑙 𝑣𝑎𝑙𝑜𝑟 𝑑𝑒 𝑨 𝑠𝑖 𝑙𝑎 𝑠𝑒ñ𝑎𝑙 𝒔 𝑒𝑠 𝟎 𝑦 𝑑𝑒 𝑩 𝑠𝑖 𝒔 𝑒𝑠 𝟏. Problema #2: (10%) Cuál de las siguientes afirmaciones NO es correcta: a) Siempre se debe incluir las librerías: LIBRARY ieee; use ieee.std_logic_1164.all; b) En la declaración de entidades, se definen las señales de entrada y salida de un circuito, con el siguiente nivel de detalle: nombres, tamaño (de 0 a n bits), modo (entrada, salida, ...), comportamiento de las señales (asignación de señales, condicionales y selección) y tipo (integer, bit,...). c) El modo BUFFER se utiliza en señales que además de salir de la entidad, pueden usarse como señales realimentadas. d) Si quiero usar el tipo de dato Std_logic_Vector en operaciones aritméticas sin signo y en operaciones de Relación, debo incluir la librería: use ieee.std_logic_unsigned.all; e) Si quiero usar el tipo de dato Std_logic_Vector en operaciones aritméticas con signo y en operaciones de Relación, debo incluir la librería: use ieee.std_logic_signed.all;
  • 2. vasanza 2 Problema #3: (20%) Dado el siguiente código en VHDL, ¿cuál de los siguientes mapas de karnaugh describe la relación entre señales de salida y entrada? a) Q: 𝐼0 𝐼1 𝑆1,𝑆0 00 01 11 10 00 0 0 𝐼2 𝐼3 01 1 1 𝐼2 𝐼3 11 0 0 𝐼2 𝐼3 10 1 1 𝐼2 𝐼3 b) Q: 𝐼0 𝐼1 𝑆1,𝑆0 00 01 11 10 00 0 0 𝐼3 𝐼2 01 1 1 𝐼3 𝐼2 11 0 0 𝐼3 𝐼2 10 1 1 𝐼3 𝐼2 c) Q: 𝐼0 𝐼1 𝑆1,𝑆0 00 01 11 10 00 0 0 𝐼3 𝐼2 01 1 0 𝐼3 𝐼2 11 0 1 𝐼3 𝐼2 10 1 1 𝐼3 𝐼2 d) Q: 𝐼0 𝐼1 𝑆1,𝑆0 00 01 11 10 00 0 0 𝐼3 𝐼2 01 0 1 𝐼3 𝐼2 11 1 1 𝐼3 𝐼2 10 1 0 𝐼3 𝐼2 Problema #4: (10%) Cuál de las siguientes afirmaciones referentes al package NO es correcta: a) Para enlistar los componentes en el package usamos la palabra reservada COMPONENT y al final END COMPONENT. En reemplazo al ENTITY y al END nombre_entity, respectivamente. b) Para utilizar el package debemos incluir en las librerías: use work.nombre_package.all; c) Si utilizo package también debo enlistar en la arquitectura los componentes mapeados. d) El archivo nombre_package.vhdl deberá estar en la misma carpeta donde esté el archivo VHDL que lo utilice.
  • 3. vasanza 3 Problema #5: (20%) Identificar cuál de los circuitos indicados en los literales, corresponde al código VHDL siguiente: Library ieee; Use ieee.std_logic_1164.all; Use ieee.std_logic_signed.all; Entity hsuk is Port(A,B,C: in std_logic; S: out std_logic); End husk; architecture yppirk of hsuk is -- declaración de señales signal X: bit_vector(1 to 8); -- declaración de componentes component NAND port (I1, I2: in bit; O: out bit); end component; begin --instanciación de componentes U1: NAND port map (A, A, X(1)); U2: NAND port map (B, B, X(2)); U3: NAND port map (B, A, X(3)); U4: NAND port map (X(1), X(2), X(4)); U5: NAND port map (X(3), X(3), X(5)); U6: NAND port map (X(4), X(4), X(6)); U7: NAND port map (X(5), C, X(7)); U8: NAND port map (C, X(6), X(8)); U9: NAND port map (X(8), X(7), S); end yppirk; a) b) c) d)
  • 4. vasanza 4 Problema #6: (20%) Dado el siguiente código en VHDL, ¿cuál de los siguientes mapas de karnaugh NO describe la relación entre señales de salida y entrada? a) 𝑆𝐸𝐺76−4: 𝐵𝐶𝐷1 𝐵𝐶𝐷0 𝐵𝐶𝐷3 𝐵𝐶𝐷2 00 01 11 10 00 000 100 111 000 01 100 010 111 000 11 000 000 111 111 10 001 010 111 111 b) 𝑆𝐸𝐺75−3: 𝐵𝐶𝐷1 𝐵𝐶𝐷0 𝐵𝐶𝐷3 𝐵𝐶𝐷2 00 01 11 10 00 000 001 111 000 01 001 100 111 000 11 000 001 111 111 10 010 100 111 111 c) 𝑆𝐸𝐺74−2: 𝐵𝐶𝐷1 𝐵𝐶𝐷0 𝐵𝐶𝐷3 𝐵𝐶𝐷2 00 01 11 10 00 000 011 111 000 01 011 001 111 001 11 001 011 111 111 10 100 000 111 111 d) 𝑆𝐸𝐺73−1: 𝐵𝐶𝐷1 𝐵𝐶𝐷0 𝐵𝐶𝐷3 𝐵𝐶𝐷2 00 01 11 10 00 000 001 111 000 01 111 101 111 010 11 011 000 111 111 10 001 111 111 111 Problema #7: (10%) Cuál de las siguientes afirmaciones NO es correcta: a) Asignación directa: Debo conocer la expresión booleana que define la señal de salida. Permite asignar a las salidas solo dos valores booleanos 1 o 0. b) Asignación Condicional: Es necesario conocer de forma descriptiva el comportamiento de las señales de salida. Permite asignar una expresiones booleanas y valores como ‘-’ y ‘z’. c) Asignación por selección: Necesitamos tener a la mano la tabla de verdad que define el comportamiento de las señales de salida. Permite asignar múltiples valores a las señales de salida: ‘1’, ‘0’, ‘-’ y ‘z’. d) Ninguna de las anteriores.