SlideShare a Scribd company logo
1 of 17
MENGGUNAKAN ISE WEBPACK
                              Gerbang Lucu



 Tujuan :

      1. Praktikan diharapkan mampu untuk mebuat gerbang lucu menggunakan ISE
         WebPack.
      2. Praktikan dapat membuat sebuah proyek sistem digital.

 Dasar Teori :
    Software Xilinx ISE Webpack akan digunakan sebagai GUI untuk merancang
    problem sistem digital yang diinginkan. Suatu proyek desain dapat mempunyai
    beberapa file desain, dengan satu file yang menjadi modul paling atas (top module),
    sedangkan lainnya sebagai modul komponen. ISE mengenal paling tidak 4 tipe file
    berikut:

      1. File teks HDL (ekstensi *.v untuk verilog, *.vhd untuk VHDL)
         Satu file HDL mendefinisikan satu struktur modul komponen (entitas) dan
         perilaku modul tersebut.

      2. File skematik (ekstensi *.sch)
         File ini merupakan alternatif masukan desain secara grafis. Simbol-simbol
         modul komponen saling diinterkoneksikan untuk menghasilkan satu modul
         baru.

      3. File definisi pin / User Constraint File (ekstensi *.ucf)
         File ini mendefinisikan konstrain yang harus dipenuhi oleh desain, meliput
         konstrain waktu/speed, daya/power dan penempatan/placement pad logika di
         pin XC3S500E.

      4. File konfigurasi / programming
         A) File konfigurasi bitstream FPGA yang bisa diprogram langsung lewat
            JTAG (ekstensi *.bit). File ini dibangkitkan dari ISE Project Navigator.
         B) File PROM dan konfigurasi FPGA yang akan disimpan di flash secara
            permanen dan digunakan dalam mode Slave-Serial (ekstensi *.mcs). File
            ini dibangkitkan dengan PROM File Formatter di program ISE iMPACT.
 Perangkat yang dibutuhkan :
        1. PC dengan OS windows
        2. Kabel penghubung
        3. Software ISE WebPack
        4. Software ModelSim yang dilengkapi XSTools
        5. Development Board

 Percobaan :


            Mendeskripsikan sistem
            Membuat project baru
            Membuat source code
            Melakukan synthesize
            Melakukan simulasi fungsional
            Menentukan hubungan pin-pin
            Melakukan implemantasi
            Melihat report
            Mendownload bitstream
            Melakukan tes pada sistem
HASIL PERCOBAAN




           c
ANALISA DATA

          Dalam melakukan percobaan ini dilakukan sebuah simulasi dengan merangkai suatu gerbang
logika secara sederhana.




Gambar diatas menunjukan bahwa tiap-tiap input DSW1, DSW2, DSW3, dan DSW4 akan terisi nilai nilai
bitnya.

DSW1 = 1 1 0 0 0 0

DSW2 = 0 1 1 1 1 1

DSW3 = 0 0 1 1 1 1

DSW4 = 0 0 0 0 1 0

Hal ini juga berlaku pada time selanjutnya tergantung akan diisi berapa pun input tersebut, terserah
pengguna kemudian pada paling bawah sendiri adalah label LED, dalam gambar tersebut masih
menunjukan nilai nol pada LED, bukan berarti salah akan tetapi pada LED tersebut masih belum
menunjukan hasilnya. Untuk mengetahui bagaimana hasilnya maka simulasi tersebut harus di Run, klik
Simulate Behavioral Models pada nama yang berekstensi .tbws sehingga akan muncul tampilan
Terlihat bahwa nilai yang diberikan pada input sesuai dengan apa yang ditulis dan sinyal yang paling
bawah sendiri adalah sinyal output hasil dari proses gerbang logika tersebut. Untuk mencocokan apakah
sudah benar atau belum maka harus dihitung secara manual pada gerbang logika dasar. Jika sudah
berjalan sampai tahap ini, maka file berekstensi bit tersebut siap untuk dipraktikan pada hardware.


KESIMPULAN

        Nyala LED tergantung pada clock inputan yang di masukkan serta pada rangkaian gerbangnya .
 Mendeskripsikan sistem
  Membuat gerbang lucu dengan 4 input, 1 output




 Membuat project baru
  1. Jalankan aplikasi Project Navigator




   2. Nama dan letak project, top-level disain
3. Divais dan design flow




4. Create new source (next)




5. Add existing source (next)
6. Project information (finish)




   7. Project is ready




 Membuat source code
  1. Pilih Project  New Source
  2. Isi nama dan tipe (next)
3. New Source information (finish)




4. Source akan ditambahkan pada project




5. Jendela ECS




   a.   Pilih tab symbol
   b.   Pada Symbols cari dan pilih komponen and2
   c.   Letakkan mouse pada bidang gambar lalu klik kiri untuk meletakkan
   d.   Lengkapi komponen sesuai disain awal
   e.   Gunakan tool Add Wire untuk membuat koneksi
   f.   Tambahkan simbol IBUF
   g.   Hubungkan dengan Wire
   h.   Gunakan tool Add I/O Marker
   i.   Letakkan pada semua kaki input IBUF
   j.   Klik kanan pada simbol pin input, ganti nama menjadi DSW1
   k.   Ulangi untuk simbol pin input yang lain
l.   Tambahkan simbol OBUF
       m.   Hubungkan dengan wire
       n.   Tambahkan pin output dengan I/O Marker
       o.   Ganti nama pin dengan LED
       p.   Lakukan check schematic
       q.   Bila tidak ada error, lakukan save


 Melakukan synthesize
  1. Kembali ke Project Navigator
  2. Klik ganda Synthesize – XST




   3. Proses synthesize selesai (warning / error can occurred)




   4. Klik synthesize report untuk melihat resources IC yang digunakan
 Melakukan simulasi fungsional
  1. Pilih Project  New Source
  2. Isi nama dan pilih tipe Test Bench Waveform (next)




   3. Hubungkan dengan source (next)




   4. New Source Information (finish)




   5. Tekan OK
6. Buat vektor ujinya dg cara melakukan klik pada garis-garis timing




7. Klik kanan pada slot waktu (Time) ke-600, pilih Set end of testbench untuk memberi batas




8. Klik save maka akan terbentuk vektor uji untuk source gerbang_ku




9. Klik ganda Simulate Behavioral Model
10. Bila tidak ada error maka lihat hasilnya pada jendela wave – default




   11. Mengedit testbench melalui source tesbench wave




   12. Close jendela ModelSim


 Menentukan hubungan pin-pin
  1. Supaya net terhubung dengan pin yang diharapkan
  2. Pilih Project  New Source
  3. Beri nama dan pilih tipe Implementation Constraints File (next)




   4. Hubungkan ke source gerbang_ku (next)
5. New Source Information (finish)




6. Akan terbentuk source ucf pada project




7. Klik tanda + pada User Constraints di jendela proses




8. Klik ganda Edit Constraints (Text)
9. Tulis seperti gambar berikut
10. Lakukan save file


 Melakukan implemantasi
  1. Highlight gerbang_ku pada jendela source




   2. Pada jendela proses, klik ganda Implement Design




   3. Apabila tidak ada error, lakukan Generate Programming File untuk membuat bitstreamnya




 Melihat report
  1. Map report
2. Timming report
   3. Pad report


 Mendownload bitstream
  1. Siapkan development board, power suplai dan kabel download
  2. Jalankan aplikasi GXSLOAD, pilih board dan port yang sesuai
  3. Jalankan aplikasi Windows Explorer
  4. Atur jendela, cari file gerbang_ku.bit, lakukan drag & drop
  5. Klik tombol LOAD




 Melakukan tes pada sistem
DATASHEET

 XSA Board




   Synchronous DRAM

More Related Content

Similar to Menggunakan ise webpack 1

Internet Programming v2.0 Comp
Internet Programming v2.0 CompInternet Programming v2.0 Comp
Internet Programming v2.0 CompNurdin Al-Azies
 
Code Generatorx
Code GeneratorxCode Generatorx
Code Generatorxvalcon24
 
Network simulator_handbook
Network simulator_handbookNetwork simulator_handbook
Network simulator_handbookArdi Bolang
 
Slide Jaringan Komputer ITB pertemuan 1
Slide Jaringan Komputer ITB pertemuan 1 Slide Jaringan Komputer ITB pertemuan 1
Slide Jaringan Komputer ITB pertemuan 1 Putu Shinoda
 
Netbeans jasper-tutorial
Netbeans jasper-tutorialNetbeans jasper-tutorial
Netbeans jasper-tutorialyunus pramono
 
97674720 buku-mikrokontroler-atmega8535-dengan-codevisionavr
97674720 buku-mikrokontroler-atmega8535-dengan-codevisionavr97674720 buku-mikrokontroler-atmega8535-dengan-codevisionavr
97674720 buku-mikrokontroler-atmega8535-dengan-codevisionavrPrayogy Pangestu
 
Tutorial cisco packet tracer lengkap
Tutorial cisco packet tracer lengkapTutorial cisco packet tracer lengkap
Tutorial cisco packet tracer lengkaplaila wulandari
 
Panduan praktikum jaringan & packet tracer
Panduan praktikum jaringan & packet tracerPanduan praktikum jaringan & packet tracer
Panduan praktikum jaringan & packet tracervianovian
 
Perancangan sistem d igital
Perancangan sistem d igitalPerancangan sistem d igital
Perancangan sistem d igitalMoch Subhaan
 
Tutorial jaringan cisco packet tracer 5.3
Tutorial jaringan cisco packet tracer 5.3Tutorial jaringan cisco packet tracer 5.3
Tutorial jaringan cisco packet tracer 5.3sams4droid
 
Tutorial_Cisco_Packet_Tracer_Lengkap.pdf
Tutorial_Cisco_Packet_Tracer_Lengkap.pdfTutorial_Cisco_Packet_Tracer_Lengkap.pdf
Tutorial_Cisco_Packet_Tracer_Lengkap.pdfabdmks15
 
Aplikasi komunikasi serial mikrokontroller
Aplikasi komunikasi serial mikrokontrollerAplikasi komunikasi serial mikrokontroller
Aplikasi komunikasi serial mikrokontrollerWeldan Kusuf
 
Transmisi citra pada perangkat jaringan sensor visual nirkabel platform imote...
Transmisi citra pada perangkat jaringan sensor visual nirkabel platform imote...Transmisi citra pada perangkat jaringan sensor visual nirkabel platform imote...
Transmisi citra pada perangkat jaringan sensor visual nirkabel platform imote...Fajar Purnama
 
Praktikum pemrograman jaringan-1-3
Praktikum pemrograman jaringan-1-3Praktikum pemrograman jaringan-1-3
Praktikum pemrograman jaringan-1-3sulaiman yunus
 
PENGEMBANGAN PROGRAM TERSTRUKTUR
PENGEMBANGAN PROGRAM TERSTRUKTURPENGEMBANGAN PROGRAM TERSTRUKTUR
PENGEMBANGAN PROGRAM TERSTRUKTUREDIS BLOG
 

Similar to Menggunakan ise webpack 1 (20)

6 modul avr
6 modul avr6 modul avr
6 modul avr
 
Internet Programming v2.0 Comp
Internet Programming v2.0 CompInternet Programming v2.0 Comp
Internet Programming v2.0 Comp
 
Code Generatorx
Code GeneratorxCode Generatorx
Code Generatorx
 
Laporan p1
Laporan p1Laporan p1
Laporan p1
 
Network simulator_handbook
Network simulator_handbookNetwork simulator_handbook
Network simulator_handbook
 
Slide Jaringan Komputer ITB pertemuan 1
Slide Jaringan Komputer ITB pertemuan 1 Slide Jaringan Komputer ITB pertemuan 1
Slide Jaringan Komputer ITB pertemuan 1
 
Netbeans jasper-tutorial
Netbeans jasper-tutorialNetbeans jasper-tutorial
Netbeans jasper-tutorial
 
97674720 buku-mikrokontroler-atmega8535-dengan-codevisionavr
97674720 buku-mikrokontroler-atmega8535-dengan-codevisionavr97674720 buku-mikrokontroler-atmega8535-dengan-codevisionavr
97674720 buku-mikrokontroler-atmega8535-dengan-codevisionavr
 
Cocomo
CocomoCocomo
Cocomo
 
Tutorial cisco packet tracer lengkap
Tutorial cisco packet tracer lengkapTutorial cisco packet tracer lengkap
Tutorial cisco packet tracer lengkap
 
Panduan praktikum jaringan & packet tracer
Panduan praktikum jaringan & packet tracerPanduan praktikum jaringan & packet tracer
Panduan praktikum jaringan & packet tracer
 
2. CodeBlocks & C++
2. CodeBlocks & C++2. CodeBlocks & C++
2. CodeBlocks & C++
 
Perancangan sistem d igital
Perancangan sistem d igitalPerancangan sistem d igital
Perancangan sistem d igital
 
Tutorial jaringan cisco packet tracer 5.3
Tutorial jaringan cisco packet tracer 5.3Tutorial jaringan cisco packet tracer 5.3
Tutorial jaringan cisco packet tracer 5.3
 
Tutorial_Cisco_Packet_Tracer_Lengkap.pdf
Tutorial_Cisco_Packet_Tracer_Lengkap.pdfTutorial_Cisco_Packet_Tracer_Lengkap.pdf
Tutorial_Cisco_Packet_Tracer_Lengkap.pdf
 
Aplikasi komunikasi serial mikrokontroller
Aplikasi komunikasi serial mikrokontrollerAplikasi komunikasi serial mikrokontroller
Aplikasi komunikasi serial mikrokontroller
 
Transmisi citra pada perangkat jaringan sensor visual nirkabel platform imote...
Transmisi citra pada perangkat jaringan sensor visual nirkabel platform imote...Transmisi citra pada perangkat jaringan sensor visual nirkabel platform imote...
Transmisi citra pada perangkat jaringan sensor visual nirkabel platform imote...
 
Bab1
Bab1Bab1
Bab1
 
Praktikum pemrograman jaringan-1-3
Praktikum pemrograman jaringan-1-3Praktikum pemrograman jaringan-1-3
Praktikum pemrograman jaringan-1-3
 
PENGEMBANGAN PROGRAM TERSTRUKTUR
PENGEMBANGAN PROGRAM TERSTRUKTURPENGEMBANGAN PROGRAM TERSTRUKTUR
PENGEMBANGAN PROGRAM TERSTRUKTUR
 

Menggunakan ise webpack 1

  • 1. MENGGUNAKAN ISE WEBPACK Gerbang Lucu  Tujuan : 1. Praktikan diharapkan mampu untuk mebuat gerbang lucu menggunakan ISE WebPack. 2. Praktikan dapat membuat sebuah proyek sistem digital.  Dasar Teori : Software Xilinx ISE Webpack akan digunakan sebagai GUI untuk merancang problem sistem digital yang diinginkan. Suatu proyek desain dapat mempunyai beberapa file desain, dengan satu file yang menjadi modul paling atas (top module), sedangkan lainnya sebagai modul komponen. ISE mengenal paling tidak 4 tipe file berikut: 1. File teks HDL (ekstensi *.v untuk verilog, *.vhd untuk VHDL) Satu file HDL mendefinisikan satu struktur modul komponen (entitas) dan perilaku modul tersebut. 2. File skematik (ekstensi *.sch) File ini merupakan alternatif masukan desain secara grafis. Simbol-simbol modul komponen saling diinterkoneksikan untuk menghasilkan satu modul baru. 3. File definisi pin / User Constraint File (ekstensi *.ucf) File ini mendefinisikan konstrain yang harus dipenuhi oleh desain, meliput konstrain waktu/speed, daya/power dan penempatan/placement pad logika di pin XC3S500E. 4. File konfigurasi / programming A) File konfigurasi bitstream FPGA yang bisa diprogram langsung lewat JTAG (ekstensi *.bit). File ini dibangkitkan dari ISE Project Navigator. B) File PROM dan konfigurasi FPGA yang akan disimpan di flash secara permanen dan digunakan dalam mode Slave-Serial (ekstensi *.mcs). File ini dibangkitkan dengan PROM File Formatter di program ISE iMPACT.
  • 2.  Perangkat yang dibutuhkan : 1. PC dengan OS windows 2. Kabel penghubung 3. Software ISE WebPack 4. Software ModelSim yang dilengkapi XSTools 5. Development Board  Percobaan :  Mendeskripsikan sistem  Membuat project baru  Membuat source code  Melakukan synthesize  Melakukan simulasi fungsional  Menentukan hubungan pin-pin  Melakukan implemantasi  Melihat report  Mendownload bitstream  Melakukan tes pada sistem
  • 4. ANALISA DATA Dalam melakukan percobaan ini dilakukan sebuah simulasi dengan merangkai suatu gerbang logika secara sederhana. Gambar diatas menunjukan bahwa tiap-tiap input DSW1, DSW2, DSW3, dan DSW4 akan terisi nilai nilai bitnya. DSW1 = 1 1 0 0 0 0 DSW2 = 0 1 1 1 1 1 DSW3 = 0 0 1 1 1 1 DSW4 = 0 0 0 0 1 0 Hal ini juga berlaku pada time selanjutnya tergantung akan diisi berapa pun input tersebut, terserah pengguna kemudian pada paling bawah sendiri adalah label LED, dalam gambar tersebut masih menunjukan nilai nol pada LED, bukan berarti salah akan tetapi pada LED tersebut masih belum menunjukan hasilnya. Untuk mengetahui bagaimana hasilnya maka simulasi tersebut harus di Run, klik Simulate Behavioral Models pada nama yang berekstensi .tbws sehingga akan muncul tampilan
  • 5. Terlihat bahwa nilai yang diberikan pada input sesuai dengan apa yang ditulis dan sinyal yang paling bawah sendiri adalah sinyal output hasil dari proses gerbang logika tersebut. Untuk mencocokan apakah sudah benar atau belum maka harus dihitung secara manual pada gerbang logika dasar. Jika sudah berjalan sampai tahap ini, maka file berekstensi bit tersebut siap untuk dipraktikan pada hardware. KESIMPULAN Nyala LED tergantung pada clock inputan yang di masukkan serta pada rangkaian gerbangnya .
  • 6.  Mendeskripsikan sistem Membuat gerbang lucu dengan 4 input, 1 output  Membuat project baru 1. Jalankan aplikasi Project Navigator 2. Nama dan letak project, top-level disain
  • 7. 3. Divais dan design flow 4. Create new source (next) 5. Add existing source (next)
  • 8. 6. Project information (finish) 7. Project is ready  Membuat source code 1. Pilih Project  New Source 2. Isi nama dan tipe (next)
  • 9. 3. New Source information (finish) 4. Source akan ditambahkan pada project 5. Jendela ECS a. Pilih tab symbol b. Pada Symbols cari dan pilih komponen and2 c. Letakkan mouse pada bidang gambar lalu klik kiri untuk meletakkan d. Lengkapi komponen sesuai disain awal e. Gunakan tool Add Wire untuk membuat koneksi f. Tambahkan simbol IBUF g. Hubungkan dengan Wire h. Gunakan tool Add I/O Marker i. Letakkan pada semua kaki input IBUF j. Klik kanan pada simbol pin input, ganti nama menjadi DSW1 k. Ulangi untuk simbol pin input yang lain
  • 10. l. Tambahkan simbol OBUF m. Hubungkan dengan wire n. Tambahkan pin output dengan I/O Marker o. Ganti nama pin dengan LED p. Lakukan check schematic q. Bila tidak ada error, lakukan save  Melakukan synthesize 1. Kembali ke Project Navigator 2. Klik ganda Synthesize – XST 3. Proses synthesize selesai (warning / error can occurred) 4. Klik synthesize report untuk melihat resources IC yang digunakan
  • 11.  Melakukan simulasi fungsional 1. Pilih Project  New Source 2. Isi nama dan pilih tipe Test Bench Waveform (next) 3. Hubungkan dengan source (next) 4. New Source Information (finish) 5. Tekan OK
  • 12. 6. Buat vektor ujinya dg cara melakukan klik pada garis-garis timing 7. Klik kanan pada slot waktu (Time) ke-600, pilih Set end of testbench untuk memberi batas 8. Klik save maka akan terbentuk vektor uji untuk source gerbang_ku 9. Klik ganda Simulate Behavioral Model
  • 13. 10. Bila tidak ada error maka lihat hasilnya pada jendela wave – default 11. Mengedit testbench melalui source tesbench wave 12. Close jendela ModelSim  Menentukan hubungan pin-pin 1. Supaya net terhubung dengan pin yang diharapkan 2. Pilih Project  New Source 3. Beri nama dan pilih tipe Implementation Constraints File (next) 4. Hubungkan ke source gerbang_ku (next)
  • 14. 5. New Source Information (finish) 6. Akan terbentuk source ucf pada project 7. Klik tanda + pada User Constraints di jendela proses 8. Klik ganda Edit Constraints (Text) 9. Tulis seperti gambar berikut
  • 15. 10. Lakukan save file  Melakukan implemantasi 1. Highlight gerbang_ku pada jendela source 2. Pada jendela proses, klik ganda Implement Design 3. Apabila tidak ada error, lakukan Generate Programming File untuk membuat bitstreamnya  Melihat report 1. Map report
  • 16. 2. Timming report 3. Pad report  Mendownload bitstream 1. Siapkan development board, power suplai dan kabel download 2. Jalankan aplikasi GXSLOAD, pilih board dan port yang sesuai 3. Jalankan aplikasi Windows Explorer 4. Atur jendela, cari file gerbang_ku.bit, lakukan drag & drop 5. Klik tombol LOAD  Melakukan tes pada sistem
  • 17. DATASHEET  XSA Board  Synchronous DRAM