SlideShare a Scribd company logo
1 of 28
Download to read offline
Xeon+FPGAへの妄想
どうやって実装するのか?
http://www.nextplatform.com/2016/03/14/intel-marrying-fpga-beefy-bro
adwell-open-compute-future/
Vengineer DEATH
無限ゲームのなか
いつもなら、
間もなく、寝る時間ですが、
よろしくお願いします。
@Vengineer に居ます
この資料について
IDF16の公開資料
・Enabling Dynamic Usage Models for FPGA
with the Accelerator Abstraction Layer
Software Technology
・Strategies and Tools to Optimize Modern
Workloads
を読み込むための参考資料としてご利用ください
IvyBridge Xeon (E5-26xx v2) + Stratix V FPGA
http://www.pactroninc.com/qpi/
Pectron Romley IvyBridge QPI SDP
Broadwell Xeon (E5-26xx v4) + Arria 10 FPGA
http://www.pactroninc.com/qpi/
Pectron Grantley HSX/BSX QPI SDP
https://cpufpga.wordpress.com/2016/04/15/xeonfpga-tutorial-on-cohere
nt-fpga-programming/
Using OpenCL for FPGAs and
Preview of Xeon+FPGA
architecture
https://cpufpga.files.wordpress.com/2016/04/harp_isca_2016_final.pdf
2. Hardware Research Prototype – An Overview
10:30 PM – 11:30 PM, Speaker – David Sheffield
IvyTown Xeon + FPGA: The HARP Program
● HARP (Xeon + FPGA) Prototype Architecture
● Research overview and insights from HARP
● Future HARP plans
IvyTown Xeon (E5-26xx v2) + Stratix V Inteの資料から
http://reconfigurablecomputing4themasses.net/files/2.2%20PK.pdf
https://cpufpga.files.wordpress.com/2016/04/harp_isca_2016_final.pdf
AppicationとUser logicを作るだけ!
https://cpufpga.files.wordpress.com/2016/04/harp_isca_2016_final.pdf
https://cpufpga.files.wordpress.com/2016/04/harp_isca_2016_final.pdf
Altera SDK for OpenCLA++ Compiler for HLS?
Broadwell + Arrira 10
のことは出てこないので、
Google君に聞いてみた
Bluespec:MIT & Intelの事例(LEAP)
http://blogs.yahoo.co.jp/verification_engineer/66046446.html
2012/2/1(水) 午後 5:10
Bluespec User Meeting 2012にて、Bluespecからのプレゼンテー
ションにあったIntelの事例は、LEAP: A Virtual Platform
Architecture for FPGAsかもしれません。
途中略
このLEAPは、今ではオープンソースで公開されていま
す。なんと、太っ腹。
途中略
自分の過去ログに教えてもらった
オープンソース公開の部分を
クリックしてみたら、
Page not found
The page you were trying to access
doesn't exist or has been removed.
Back
ィャ ─ ・゚・(m´□`m)・゚・ ─ ァ!!
なら、Google君に
LEAP MIT Intel FPGA
で聞いてみた
LEAP-FPGA Platform
https://github.com/LEAP-FPGA/leap-documentation/wiki
Papers:
https://github.com/LEAP-FPGA/leap-documentation/wiki/Papers
Sources:
LEAP-Core : https://github.com/LEAP-Core
LEAP-Workloads : https://github.com/LEAP-Workloads
GitHubにあったよ
LEAP-Core
https://github.com/LEAP-Core
leap-platforms-intel
leap-multifpga
leap
leap-debug
leap-platforms
leap-platforms-altera
LEAP Platform Intel
https://github.com/LEAP-Core/leap-platforms-intel
Xeon+FPGA SDR上に
実装したモジュールのコードを発見!
ワク♪((o(⌒∇⌒)o))ワク♪
次の3つの環境で実装しているみたい
・ASE(VCS : Synopsys HDL Simulator)
・SDP (Intel Software Development Platform)
・BDX(Intel QuickAssist CCI-P FPGA BDX Xeon+FPGA)
https://cpufpga.files.wordpress.com/2016/04/harp_isca_2016_final.pdf
ASE = Intel AFU simulation environment
AFU : Accelerator Function Unit
post-synthesis-qa-sdp/README
the Intel QuickAssist FPGA in Canoe Pass(Intel S2600CP
two-socket motherboards) SDP.
post-synthesis-qa-sdp/ome2_ivt.qsf
set_global_assignment -name FAMILY " Stratix V"
set_global_assignment -name DEVICE 5SGXEA7N1F45C1
post-synthesis-qa-bdx/README
the Intel QuickAssist FPGA in Broadwell
post-synthesis-qa-bdx/bdw_502_pr_afu.qsf
set_global_assignment -name FAMILY " Arria 10"
set_global_assignment -name DEVICE 10AX115U3F45E2SGE3
Arria 10 GX 1150/1932pin
やっと、見つかったよ
https://github.com/LEAP-Core/leap-platforms-intel/blob/master/modules/leap/build-
support/build-pipelines/stages/altera/post-synthesis-qa-bdx/README
やっとこれに到達した
http://www.nextplatform.com/2016/03/14/intel-marrying-fpga-beefy-bro
adwell-open-compute-future/
次は何を実装するかだ
Accelerating Datacenter Workloads
FPL 2016
PK Gupta,
GM of Xeon+FPGA Products
Datacenter Group
から
http://www.fpl2016.org/slides/Gupta/20--/0Accelerating/20Datacenter/
20Workloads.pdf
FPGAにはメモリが無いっす!
End User Programming Interface
メモリは無くなったが、HSSIは付いている
Xeon + FPGA in the Cloud
IP Libraryがある

More Related Content

Viewers also liked

FPGAのトレンドをまとめてみた
FPGAのトレンドをまとめてみたFPGAのトレンドをまとめてみた
FPGAのトレンドをまとめてみた
Takefumi MIYOSHI
 

Viewers also liked (20)

PYNQ祭りLT todotani
PYNQ祭りLT todotaniPYNQ祭りLT todotani
PYNQ祭りLT todotani
 
Pynqでカメラ画像をリアルタイムfastx コーナー検出
Pynqでカメラ画像をリアルタイムfastx コーナー検出Pynqでカメラ画像をリアルタイムfastx コーナー検出
Pynqでカメラ画像をリアルタイムfastx コーナー検出
 
Hdmits fpgax6-v0
Hdmits fpgax6-v0Hdmits fpgax6-v0
Hdmits fpgax6-v0
 
Synthesijer fpgax 20150201
Synthesijer fpgax 20150201Synthesijer fpgax 20150201
Synthesijer fpgax 20150201
 
FPGA+Synthesijerでヴォコーダを作ってみた
FPGA+Synthesijerでヴォコーダを作ってみたFPGA+Synthesijerでヴォコーダを作ってみた
FPGA+Synthesijerでヴォコーダを作ってみた
 
FPGAで作るOpenFlow Switch (FPGAエクストリーム・コンピューティング 第6回) FPGAX#6
FPGAで作るOpenFlow Switch (FPGAエクストリーム・コンピューティング 第6回) FPGAX#6FPGAで作るOpenFlow Switch (FPGAエクストリーム・コンピューティング 第6回) FPGAX#6
FPGAで作るOpenFlow Switch (FPGAエクストリーム・コンピューティング 第6回) FPGAX#6
 
FPGAX6_hayashi
FPGAX6_hayashiFPGAX6_hayashi
FPGAX6_hayashi
 
FPGAX2016 ドキュンなFPGA
FPGAX2016 ドキュンなFPGAFPGAX2016 ドキュンなFPGA
FPGAX2016 ドキュンなFPGA
 
PYNQ単体でUIを表示してみる(PYNQまつり)
PYNQ単体でUIを表示してみる(PYNQまつり)PYNQ単体でUIを表示してみる(PYNQまつり)
PYNQ単体でUIを表示してみる(PYNQまつり)
 
FPGAアクセラレータの作り方 (IBM POWER+CAPI編)
FPGAアクセラレータの作り方 (IBM POWER+CAPI編)FPGAアクセラレータの作り方 (IBM POWER+CAPI編)
FPGAアクセラレータの作り方 (IBM POWER+CAPI編)
 
$30で始めるFPGA
$30で始めるFPGA$30で始めるFPGA
$30で始めるFPGA
 
FPGAのトレンドをまとめてみた
FPGAのトレンドをまとめてみたFPGAのトレンドをまとめてみた
FPGAのトレンドをまとめてみた
 
Gpu vs fpga
Gpu vs fpgaGpu vs fpga
Gpu vs fpga
 
深層学習フレームワークChainerの紹介とFPGAへの期待
深層学習フレームワークChainerの紹介とFPGAへの期待深層学習フレームワークChainerの紹介とFPGAへの期待
深層学習フレームワークChainerの紹介とFPGAへの期待
 
FPGA・リコンフィギャラブルシステム研究の最新動向
FPGA・リコンフィギャラブルシステム研究の最新動向FPGA・リコンフィギャラブルシステム研究の最新動向
FPGA・リコンフィギャラブルシステム研究の最新動向
 
2値化CNN on FPGAでGPUとガチンコバトル(公開版)
2値化CNN on FPGAでGPUとガチンコバトル(公開版)2値化CNN on FPGAでGPUとガチンコバトル(公開版)
2値化CNN on FPGAでGPUとガチンコバトル(公開版)
 
TensorFlow XLA : AOT編 チラ見版
TensorFlow XLA : AOT編 チラ見版TensorFlow XLA : AOT編 チラ見版
TensorFlow XLA : AOT編 チラ見版
 
自作GPUへの道
自作GPUへの道自作GPUへの道
自作GPUへの道
 
20160126 jetson ps4eye_01
20160126 jetson ps4eye_0120160126 jetson ps4eye_01
20160126 jetson ps4eye_01
 
IBM CAPI:概要 (An overview of IBM CAPI)
IBM CAPI:概要 (An overview of IBM CAPI)IBM CAPI:概要 (An overview of IBM CAPI)
IBM CAPI:概要 (An overview of IBM CAPI)
 

More from Mr. Vengineer

More from Mr. Vengineer (20)

XilinxのxsimでSoftware Driven Verification.pdf
XilinxのxsimでSoftware  Driven Verification.pdfXilinxのxsimでSoftware  Driven Verification.pdf
XilinxのxsimでSoftware Driven Verification.pdf
 
VerilatorとSystemCでSoftware Driven Verification
VerilatorとSystemCでSoftware Driven VerificationVerilatorとSystemCでSoftware Driven Verification
VerilatorとSystemCでSoftware Driven Verification
 
VerilatorとSystemC
VerilatorとSystemCVerilatorとSystemC
VerilatorとSystemC
 
TVM VTA (TSIM)
TVM VTA (TSIM) TVM VTA (TSIM)
TVM VTA (TSIM)
 
Cloud TPU Driver API ソースコード解析
Cloud TPU Driver API ソースコード解析Cloud TPU Driver API ソースコード解析
Cloud TPU Driver API ソースコード解析
 
Cloud Deep Learning Chips Training & Inference
Cloud Deep Learning Chips Training & InferenceCloud Deep Learning Chips Training & Inference
Cloud Deep Learning Chips Training & Inference
 
TensorFlow Lite Delegateとは?
TensorFlow Lite Delegateとは?TensorFlow Lite Delegateとは?
TensorFlow Lite Delegateとは?
 
Pixel Visual Core device driver source code analysis
Pixel Visual Core device driver source code analysisPixel Visual Core device driver source code analysis
Pixel Visual Core device driver source code analysis
 
Google Edge TPUで TensorFlow Liteを使った時に 何をやっているのかを妄想してみる 2 「エッジAIモダン計測制御の世界」オ...
Google Edge TPUで TensorFlow Liteを使った時に 何をやっているのかを妄想してみる 2  「エッジAIモダン計測制御の世界」オ...Google Edge TPUで TensorFlow Liteを使った時に 何をやっているのかを妄想してみる 2  「エッジAIモダン計測制御の世界」オ...
Google Edge TPUで TensorFlow Liteを使った時に 何をやっているのかを妄想してみる 2 「エッジAIモダン計測制御の世界」オ...
 
TensorFlow XLA 「XLAとは、から、最近の利用事例について」
TensorFlow XLA 「XLAとは、から、最近の利用事例について」TensorFlow XLA 「XLAとは、から、最近の利用事例について」
TensorFlow XLA 「XLAとは、から、最近の利用事例について」
 
Facebook Glow Compiler のソースコードをグダグダ語る会
Facebook Glow Compiler のソースコードをグダグダ語る会Facebook Glow Compiler のソースコードをグダグダ語る会
Facebook Glow Compiler のソースコードをグダグダ語る会
 
Ultra96(UltraZed)実践勉強会
Ultra96(UltraZed)実践勉強会Ultra96(UltraZed)実践勉強会
Ultra96(UltraZed)実践勉強会
 
Bridge TensorFlow to run on Intel nGraph backends (v0.4)
Bridge TensorFlow to run on Intel nGraph backends (v0.4)Bridge TensorFlow to run on Intel nGraph backends (v0.4)
Bridge TensorFlow to run on Intel nGraph backends (v0.4)
 
Bridge TensorFlow to run on Intel nGraph backends (v0.5)
Bridge TensorFlow to run on Intel nGraph backends (v0.5)Bridge TensorFlow to run on Intel nGraph backends (v0.5)
Bridge TensorFlow to run on Intel nGraph backends (v0.5)
 
TensorFlow XLA RPC
TensorFlow XLA RPCTensorFlow XLA RPC
TensorFlow XLA RPC
 
TensorFlow local Python XLA client
TensorFlow local Python XLA clientTensorFlow local Python XLA client
TensorFlow local Python XLA client
 
Tiramisu をちょっと、味見してみました。
Tiramisu をちょっと、味見してみました。Tiramisu をちょっと、味見してみました。
Tiramisu をちょっと、味見してみました。
 
LeFlowを調べてみました
LeFlowを調べてみましたLeFlowを調べてみました
LeFlowを調べてみました
 
Tensorflow dynamically loadable XLA plugin ソースコード解析
Tensorflow  dynamically loadable XLA plugin ソースコード解析Tensorflow  dynamically loadable XLA plugin ソースコード解析
Tensorflow dynamically loadable XLA plugin ソースコード解析
 
Tiramisu概要
Tiramisu概要Tiramisu概要
Tiramisu概要
 

「Xeon+FPGA への妄想」 どうやって実装するのか? ("Delusion to (Xeon + FPGA)" : How to implement?)