SlideShare a Scribd company logo
1 of 4
SEP

DGEST
INSTITUTO

TECNOLÓGICO

DE

SNEST
MATAMOROS

DEPARTAMENTO DE INGENIERÍA ELÉCTRICA Y ELECTRÓNICA

Diseño Digital con VHDL
Equipo:

Alumno(s):

Núm. de control:

Mario Arturo Cruz Colunga

11260077

Miguel Angel Fierros Peña

11260081

Hermenegildo Martínez de la Cruz

11260095

Jorge Alejandro Reyes Torres

11260108

H. MATAMOROS, TAM.

1 de Noviembre del 2013
Practica 9
Objetivo:
Utilizando la entidad de una memoria ROM, Realizar la implementación de un decodificador
de 3 a 8 donde las direcciones sean definidas por 3 SWs deslizables del basys 2 y la salida
sea mostrada con los LEDs.
Desarrollar una memoria ROM que simule un decodificador de BCD a 7 segmentos. Utilizar el
Basys2 para la implementación.
Teroria:
La memoria de solo lectura, conocida también como ROM (acrónimo en inglés de read-onlymemory), es un
medio de almacenamiento utilizado en ordenadores y dispositivos electrónicos, que permite sólo la lectura de la
información y no su escritura, independientemente de la presencia o no de una fuente de energía.
Los datos almacenados en la ROM no se pueden modificar, o al menos no de manera rápida o fácil

Material:
Laptop
Kit spartan3e
Software aldec HDL, xilinx ISE, adept.
Procedimiento:
Se crea nuevo proyecto en aldec HDL
Código del decodifidor 3 a 8
Library ieee;
use ieee.std_logic_1164.all;
useieee.std_logic_unsigned.all;
useieee.std_logic_arith.all;
entityromis
port (datos: outstd_logic_vector (7 downto 0);
bus_dir: std_logic_vector(2 downto 0)
);
endrom;
architecture programa of romis
signal dato: std_logic_vector( 7 downto 0);
type memoria isarray (0 to 7) of std_logic_vector(7 downto 0);
constantmem_rom : memoria := ( "00000001",
"00000010",
"00000100",
"00001000",
"00010000",
"00100000",
"01000000",
"10000000");
begin
process (bus_dir)
begin
dato<= mem_rom (conv_integer (bus_dir));
endprocess ;
datos<=dato;
end programa;
códigobcd a 7 segmentos
libraryieee;
use ieee.std_logic_1164.all;
useieee.std_logic_unsigned.all;
useieee.std_logic_arith.all;
entitybcdis
port (a_to_g: outstd_logic_vector (6 downto 0);
bus_dir: std_logic_vector(3 downto 0)
);
endbcd;
architecture programa of bcdis
signal dato: std_logic_vector( 6 downto 0);
type memoria isarray (0 to 9) of std_logic_vector(6 downto 0);
constantmem_rom : memoria := ("1000000",
"1111001",
"0100100",
"0110000",
"0011001",
"0010010",
"0000010",
"1111000",
"0000000",
"0010000");
begin
process (bus_dir)
begin
ifbus_dir> "1001" then
dato<= "1111111";
else
dato<= mem_rom (conv_integer (bus_dir));
endif;
endprocess ;
a_to_g<=dato;
end programa;
Observaciones y conclusiones:
Los programas realizaron el funcionamiento correctamente de acuerdo a lo previsto, aunque hubieron algunos
errores al comienzo porque se equivocaron en el nombre de la librería arith.

More Related Content

Viewers also liked

Trabajo Power
Trabajo PowerTrabajo Power
Trabajo Power
jasulo
 
Fauna de las islas galápagos Dr. Emilio Uzcategui
Fauna de las islas galápagos Dr. Emilio UzcateguiFauna de las islas galápagos Dr. Emilio Uzcategui
Fauna de las islas galápagos Dr. Emilio Uzcategui
David
 
Semillas Sin Sonido 1
Semillas Sin Sonido 1Semillas Sin Sonido 1
Semillas Sin Sonido 1
anapunzon
 
A eso, llamalo.....
A eso, llamalo.....A eso, llamalo.....
A eso, llamalo.....
Jorge Llosa
 
No permitas sentirte viejo
No permitas sentirte viejoNo permitas sentirte viejo
No permitas sentirte viejo
Jorge Llosa
 
Tarea Tics
Tarea TicsTarea Tics
Tarea Tics
maria
 
Amatciems Letonia
Amatciems   LetoniaAmatciems   Letonia
Amatciems Letonia
Jorge Llosa
 

Viewers also liked (20)

Trabajo Power
Trabajo PowerTrabajo Power
Trabajo Power
 
Fauna de las islas galápagos Dr. Emilio Uzcategui
Fauna de las islas galápagos Dr. Emilio UzcateguiFauna de las islas galápagos Dr. Emilio Uzcategui
Fauna de las islas galápagos Dr. Emilio Uzcategui
 
Singles
SinglesSingles
Singles
 
Lucerne Minds 14/5 - Open EVERYTHING - Sharing is Caring
Lucerne Minds 14/5 - Open EVERYTHING - Sharing is CaringLucerne Minds 14/5 - Open EVERYTHING - Sharing is Caring
Lucerne Minds 14/5 - Open EVERYTHING - Sharing is Caring
 
2 De Noviembre
2 De Noviembre2 De Noviembre
2 De Noviembre
 
Semillas Sin Sonido 1
Semillas Sin Sonido 1Semillas Sin Sonido 1
Semillas Sin Sonido 1
 
PUERTAS DE ENTRADA
PUERTAS DE ENTRADAPUERTAS DE ENTRADA
PUERTAS DE ENTRADA
 
Die Bedeutung der Diagnose in der Fahrzeugentwicklung
Die Bedeutung der Diagnose in der FahrzeugentwicklungDie Bedeutung der Diagnose in der Fahrzeugentwicklung
Die Bedeutung der Diagnose in der Fahrzeugentwicklung
 
Capitulo V
Capitulo VCapitulo V
Capitulo V
 
Materiales textiles
Materiales textilesMateriales textiles
Materiales textiles
 
Moodle1
Moodle1Moodle1
Moodle1
 
Mut zur neuen Hüfte
Mut zur neuen HüfteMut zur neuen Hüfte
Mut zur neuen Hüfte
 
A eso, llamalo.....
A eso, llamalo.....A eso, llamalo.....
A eso, llamalo.....
 
No permitas sentirte viejo
No permitas sentirte viejoNo permitas sentirte viejo
No permitas sentirte viejo
 
La hidrosfera
La hidrosferaLa hidrosfera
La hidrosfera
 
Proverbios
ProverbiosProverbios
Proverbios
 
Azure presentación Gran hermano vip - desayuno microsoft
Azure   presentación Gran hermano vip - desayuno microsoftAzure   presentación Gran hermano vip - desayuno microsoft
Azure presentación Gran hermano vip - desayuno microsoft
 
Tarea Tics
Tarea TicsTarea Tics
Tarea Tics
 
Amatciems Letonia
Amatciems   LetoniaAmatciems   Letonia
Amatciems Letonia
 
Argumente zur Verfassungswidrigkeit der EU
Argumente zur Verfassungswidrigkeit der EUArgumente zur Verfassungswidrigkeit der EU
Argumente zur Verfassungswidrigkeit der EU
 

Similar to Reporte vhd11 (20)

Analisis de la arquitectura del computador
Analisis de la arquitectura del computadorAnalisis de la arquitectura del computador
Analisis de la arquitectura del computador
 
Componentes de hardware
Componentes de hardwareComponentes de hardware
Componentes de hardware
 
Rmc gera [autoguardado]
Rmc gera [autoguardado]Rmc gera [autoguardado]
Rmc gera [autoguardado]
 
Hardware 4º 2
Hardware 4º 2Hardware 4º 2
Hardware 4º 2
 
HARDWARE
HARDWAREHARDWARE
HARDWARE
 
Plantilla fase1
Plantilla fase1Plantilla fase1
Plantilla fase1
 
Guia de informatica nro. 1
Guia de informatica nro. 1Guia de informatica nro. 1
Guia de informatica nro. 1
 
Guia de informatica nro. 1
Guia de informatica nro. 1Guia de informatica nro. 1
Guia de informatica nro. 1
 
Diapositiva.yoselin bustamante
Diapositiva.yoselin bustamanteDiapositiva.yoselin bustamante
Diapositiva.yoselin bustamante
 
Diccionario
DiccionarioDiccionario
Diccionario
 
Diccionario
DiccionarioDiccionario
Diccionario
 
Informe laboratorio n°3
Informe laboratorio n°3Informe laboratorio n°3
Informe laboratorio n°3
 
Cerradura Electrónica
Cerradura ElectrónicaCerradura Electrónica
Cerradura Electrónica
 
Expo hardware
Expo hardwareExpo hardware
Expo hardware
 
Expo hardware
Expo hardwareExpo hardware
Expo hardware
 
Expo hardware
Expo hardwareExpo hardware
Expo hardware
 
Presentación1
Presentación1Presentación1
Presentación1
 
Guía No. 1 grado 11
Guía No. 1 grado 11Guía No. 1 grado 11
Guía No. 1 grado 11
 
Periféricos
PeriféricosPeriféricos
Periféricos
 
Arquitectura de los ordenadores
Arquitectura de los ordenadores Arquitectura de los ordenadores
Arquitectura de los ordenadores
 

More from Miguel Angel Peña

controlar motor paso a paso por puerto serie
controlar motor paso a paso por puerto seriecontrolar motor paso a paso por puerto serie
controlar motor paso a paso por puerto serie
Miguel Angel Peña
 
Teorema de máxima transferencia de potencia practica
Teorema de máxima transferencia de potencia practicaTeorema de máxima transferencia de potencia practica
Teorema de máxima transferencia de potencia practica
Miguel Angel Peña
 
Obtencion de la curva i v del scr(practica)
Obtencion de la curva i v del scr(practica)Obtencion de la curva i v del scr(practica)
Obtencion de la curva i v del scr(practica)
Miguel Angel Peña
 
Grafica iv del diodo de silicio (practica)
Grafica iv del diodo de silicio (practica)Grafica iv del diodo de silicio (practica)
Grafica iv del diodo de silicio (practica)
Miguel Angel Peña
 
Determinación de parámetros del jfet(practica)
Determinación de parámetros del jfet(practica)Determinación de parámetros del jfet(practica)
Determinación de parámetros del jfet(practica)
Miguel Angel Peña
 

More from Miguel Angel Peña (20)

Juego naves reporte proyecto final(VHDL)
Juego naves reporte proyecto final(VHDL)Juego naves reporte proyecto final(VHDL)
Juego naves reporte proyecto final(VHDL)
 
Reporte vhdl8
Reporte vhdl8Reporte vhdl8
Reporte vhdl8
 
Reporte vhdl7
Reporte vhdl7Reporte vhdl7
Reporte vhdl7
 
Reporte vhd10
Reporte vhd10Reporte vhd10
Reporte vhd10
 
Reporte vhdl9
Reporte vhdl9Reporte vhdl9
Reporte vhdl9
 
Reporte vhdl3
Reporte vhdl3Reporte vhdl3
Reporte vhdl3
 
Reporte vhdl5
Reporte vhdl5Reporte vhdl5
Reporte vhdl5
 
Practica 2 vdhl
Practica 2 vdhlPractica 2 vdhl
Practica 2 vdhl
 
Numeros primos
Numeros primosNumeros primos
Numeros primos
 
Reporte vhdl6
Reporte vhdl6Reporte vhdl6
Reporte vhdl6
 
Amplificador bjt emisor comun (voltaje negativo)
Amplificador bjt emisor comun (voltaje negativo)Amplificador bjt emisor comun (voltaje negativo)
Amplificador bjt emisor comun (voltaje negativo)
 
Diseño de amplificador emisor seguidor (colector comun) bjt y simulacion
Diseño de amplificador emisor seguidor (colector comun) bjt y simulacionDiseño de amplificador emisor seguidor (colector comun) bjt y simulacion
Diseño de amplificador emisor seguidor (colector comun) bjt y simulacion
 
TRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904 CALCULO DE PUNTO Q
TRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904  CALCULO DE PUNTO QTRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904  CALCULO DE PUNTO Q
TRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904 CALCULO DE PUNTO Q
 
Funciones programacion
Funciones programacionFunciones programacion
Funciones programacion
 
Unidad2 programas while , do while y for
Unidad2 programas while , do while  y forUnidad2 programas while , do while  y for
Unidad2 programas while , do while y for
 
controlar motor paso a paso por puerto serie
controlar motor paso a paso por puerto seriecontrolar motor paso a paso por puerto serie
controlar motor paso a paso por puerto serie
 
Teorema de máxima transferencia de potencia practica
Teorema de máxima transferencia de potencia practicaTeorema de máxima transferencia de potencia practica
Teorema de máxima transferencia de potencia practica
 
Obtencion de la curva i v del scr(practica)
Obtencion de la curva i v del scr(practica)Obtencion de la curva i v del scr(practica)
Obtencion de la curva i v del scr(practica)
 
Grafica iv del diodo de silicio (practica)
Grafica iv del diodo de silicio (practica)Grafica iv del diodo de silicio (practica)
Grafica iv del diodo de silicio (practica)
 
Determinación de parámetros del jfet(practica)
Determinación de parámetros del jfet(practica)Determinación de parámetros del jfet(practica)
Determinación de parámetros del jfet(practica)
 

Recently uploaded

PLAN DE REFUERZO ESCOLAR primaria (1).docx
PLAN DE REFUERZO ESCOLAR primaria (1).docxPLAN DE REFUERZO ESCOLAR primaria (1).docx
PLAN DE REFUERZO ESCOLAR primaria (1).docx
lupitavic
 
FORTI-MAYO 2024.pdf.CIENCIA,EDUCACION,CULTURA
FORTI-MAYO 2024.pdf.CIENCIA,EDUCACION,CULTURAFORTI-MAYO 2024.pdf.CIENCIA,EDUCACION,CULTURA
FORTI-MAYO 2024.pdf.CIENCIA,EDUCACION,CULTURA
El Fortí
 
Criterios ESG: fundamentos, aplicaciones y beneficios
Criterios ESG: fundamentos, aplicaciones y beneficiosCriterios ESG: fundamentos, aplicaciones y beneficios
Criterios ESG: fundamentos, aplicaciones y beneficios
JonathanCovena1
 
Curso = Metodos Tecnicas y Modelos de Enseñanza.pdf
Curso = Metodos Tecnicas y Modelos de Enseñanza.pdfCurso = Metodos Tecnicas y Modelos de Enseñanza.pdf
Curso = Metodos Tecnicas y Modelos de Enseñanza.pdf
Francisco158360
 

Recently uploaded (20)

LABERINTOS DE DISCIPLINAS DEL PENTATLÓN OLÍMPICO MODERNO. Por JAVIER SOLIS NO...
LABERINTOS DE DISCIPLINAS DEL PENTATLÓN OLÍMPICO MODERNO. Por JAVIER SOLIS NO...LABERINTOS DE DISCIPLINAS DEL PENTATLÓN OLÍMPICO MODERNO. Por JAVIER SOLIS NO...
LABERINTOS DE DISCIPLINAS DEL PENTATLÓN OLÍMPICO MODERNO. Por JAVIER SOLIS NO...
 
PIAR v 015. 2024 Plan Individual de ajustes razonables
PIAR v 015. 2024 Plan Individual de ajustes razonablesPIAR v 015. 2024 Plan Individual de ajustes razonables
PIAR v 015. 2024 Plan Individual de ajustes razonables
 
Fe contra todo pronóstico. La fe es confianza.
Fe contra todo pronóstico. La fe es confianza.Fe contra todo pronóstico. La fe es confianza.
Fe contra todo pronóstico. La fe es confianza.
 
PLAN DE REFUERZO ESCOLAR primaria (1).docx
PLAN DE REFUERZO ESCOLAR primaria (1).docxPLAN DE REFUERZO ESCOLAR primaria (1).docx
PLAN DE REFUERZO ESCOLAR primaria (1).docx
 
ORGANIZACIÓN SOCIAL INCA EN EL TAHUANTINSUYO.pptx
ORGANIZACIÓN SOCIAL INCA EN EL TAHUANTINSUYO.pptxORGANIZACIÓN SOCIAL INCA EN EL TAHUANTINSUYO.pptx
ORGANIZACIÓN SOCIAL INCA EN EL TAHUANTINSUYO.pptx
 
Caja de herramientas de inteligencia artificial para la academia y la investi...
Caja de herramientas de inteligencia artificial para la academia y la investi...Caja de herramientas de inteligencia artificial para la academia y la investi...
Caja de herramientas de inteligencia artificial para la academia y la investi...
 
Unidad 3 | Metodología de la Investigación
Unidad 3 | Metodología de la InvestigaciónUnidad 3 | Metodología de la Investigación
Unidad 3 | Metodología de la Investigación
 
FORTI-MAYO 2024.pdf.CIENCIA,EDUCACION,CULTURA
FORTI-MAYO 2024.pdf.CIENCIA,EDUCACION,CULTURAFORTI-MAYO 2024.pdf.CIENCIA,EDUCACION,CULTURA
FORTI-MAYO 2024.pdf.CIENCIA,EDUCACION,CULTURA
 
SEXTO SEGUNDO PERIODO EMPRENDIMIENTO.pptx
SEXTO SEGUNDO PERIODO EMPRENDIMIENTO.pptxSEXTO SEGUNDO PERIODO EMPRENDIMIENTO.pptx
SEXTO SEGUNDO PERIODO EMPRENDIMIENTO.pptx
 
origen y desarrollo del ensayo literario
origen y desarrollo del ensayo literarioorigen y desarrollo del ensayo literario
origen y desarrollo del ensayo literario
 
Criterios ESG: fundamentos, aplicaciones y beneficios
Criterios ESG: fundamentos, aplicaciones y beneficiosCriterios ESG: fundamentos, aplicaciones y beneficios
Criterios ESG: fundamentos, aplicaciones y beneficios
 
Ejercicios de PROBLEMAS PAEV 6 GRADO 2024.pdf
Ejercicios de PROBLEMAS PAEV 6 GRADO 2024.pdfEjercicios de PROBLEMAS PAEV 6 GRADO 2024.pdf
Ejercicios de PROBLEMAS PAEV 6 GRADO 2024.pdf
 
Presentacion Metodología de Enseñanza Multigrado
Presentacion Metodología de Enseñanza MultigradoPresentacion Metodología de Enseñanza Multigrado
Presentacion Metodología de Enseñanza Multigrado
 
Curso = Metodos Tecnicas y Modelos de Enseñanza.pdf
Curso = Metodos Tecnicas y Modelos de Enseñanza.pdfCurso = Metodos Tecnicas y Modelos de Enseñanza.pdf
Curso = Metodos Tecnicas y Modelos de Enseñanza.pdf
 
SELECCIÓN DE LA MUESTRA Y MUESTREO EN INVESTIGACIÓN CUALITATIVA.pdf
SELECCIÓN DE LA MUESTRA Y MUESTREO EN INVESTIGACIÓN CUALITATIVA.pdfSELECCIÓN DE LA MUESTRA Y MUESTREO EN INVESTIGACIÓN CUALITATIVA.pdf
SELECCIÓN DE LA MUESTRA Y MUESTREO EN INVESTIGACIÓN CUALITATIVA.pdf
 
Sesión de aprendizaje Planifica Textos argumentativo.docx
Sesión de aprendizaje Planifica Textos argumentativo.docxSesión de aprendizaje Planifica Textos argumentativo.docx
Sesión de aprendizaje Planifica Textos argumentativo.docx
 
Qué es la Inteligencia artificial generativa
Qué es la Inteligencia artificial generativaQué es la Inteligencia artificial generativa
Qué es la Inteligencia artificial generativa
 
Lecciones 05 Esc. Sabática. Fe contra todo pronóstico.
Lecciones 05 Esc. Sabática. Fe contra todo pronóstico.Lecciones 05 Esc. Sabática. Fe contra todo pronóstico.
Lecciones 05 Esc. Sabática. Fe contra todo pronóstico.
 
Power Point: Fe contra todo pronóstico.pptx
Power Point: Fe contra todo pronóstico.pptxPower Point: Fe contra todo pronóstico.pptx
Power Point: Fe contra todo pronóstico.pptx
 
Imperialismo informal en Europa y el imperio
Imperialismo informal en Europa y el imperioImperialismo informal en Europa y el imperio
Imperialismo informal en Europa y el imperio
 

Reporte vhd11

  • 1. SEP DGEST INSTITUTO TECNOLÓGICO DE SNEST MATAMOROS DEPARTAMENTO DE INGENIERÍA ELÉCTRICA Y ELECTRÓNICA Diseño Digital con VHDL Equipo: Alumno(s): Núm. de control: Mario Arturo Cruz Colunga 11260077 Miguel Angel Fierros Peña 11260081 Hermenegildo Martínez de la Cruz 11260095 Jorge Alejandro Reyes Torres 11260108 H. MATAMOROS, TAM. 1 de Noviembre del 2013
  • 2. Practica 9 Objetivo: Utilizando la entidad de una memoria ROM, Realizar la implementación de un decodificador de 3 a 8 donde las direcciones sean definidas por 3 SWs deslizables del basys 2 y la salida sea mostrada con los LEDs. Desarrollar una memoria ROM que simule un decodificador de BCD a 7 segmentos. Utilizar el Basys2 para la implementación. Teroria: La memoria de solo lectura, conocida también como ROM (acrónimo en inglés de read-onlymemory), es un medio de almacenamiento utilizado en ordenadores y dispositivos electrónicos, que permite sólo la lectura de la información y no su escritura, independientemente de la presencia o no de una fuente de energía. Los datos almacenados en la ROM no se pueden modificar, o al menos no de manera rápida o fácil Material: Laptop Kit spartan3e Software aldec HDL, xilinx ISE, adept. Procedimiento: Se crea nuevo proyecto en aldec HDL
  • 3. Código del decodifidor 3 a 8 Library ieee; use ieee.std_logic_1164.all; useieee.std_logic_unsigned.all; useieee.std_logic_arith.all; entityromis port (datos: outstd_logic_vector (7 downto 0); bus_dir: std_logic_vector(2 downto 0) ); endrom; architecture programa of romis signal dato: std_logic_vector( 7 downto 0); type memoria isarray (0 to 7) of std_logic_vector(7 downto 0); constantmem_rom : memoria := ( "00000001", "00000010", "00000100", "00001000", "00010000", "00100000", "01000000", "10000000"); begin process (bus_dir) begin dato<= mem_rom (conv_integer (bus_dir)); endprocess ; datos<=dato; end programa; códigobcd a 7 segmentos libraryieee; use ieee.std_logic_1164.all; useieee.std_logic_unsigned.all; useieee.std_logic_arith.all; entitybcdis port (a_to_g: outstd_logic_vector (6 downto 0); bus_dir: std_logic_vector(3 downto 0) ); endbcd; architecture programa of bcdis signal dato: std_logic_vector( 6 downto 0); type memoria isarray (0 to 9) of std_logic_vector(6 downto 0); constantmem_rom : memoria := ("1000000", "1111001", "0100100", "0110000", "0011001",
  • 4. "0010010", "0000010", "1111000", "0000000", "0010000"); begin process (bus_dir) begin ifbus_dir> "1001" then dato<= "1111111"; else dato<= mem_rom (conv_integer (bus_dir)); endif; endprocess ; a_to_g<=dato; end programa; Observaciones y conclusiones: Los programas realizaron el funcionamiento correctamente de acuerdo a lo previsto, aunque hubieron algunos errores al comienzo porque se equivocaron en el nombre de la librería arith.