Graficas entrada y salida del transistor npn (simulacion con circuitmaker)
Check if Number is Prime
1. library ieee;
use ieee.std_logic_1164.all;
entity primos is
port(a:in std_logic_vector(3 downto 0);
E:out std_logic);
end primos;
architecture det of primos is
begin
with a select
E<= '1' when "0001",
'1' when "0010",
'1' when "0011",
'1' when "0101",
'1' when "0111",
'1' when "1011",
'1' when "1110",
'0' when others;
end det;