SlideShare a Scribd company logo
1 of 1
library ieee;
use ieee.std_logic_1164.all;
entity primos is
port(a:in std_logic_vector(3 downto 0);
E:out std_logic);
end primos;
architecture det of primos is
begin
with a select
E<= '1' when "0001",
'1' when "0010",
'1' when "0011",
'1' when "0101",
'1' when "0111",
'1' when "1011",
'1' when "1110",
'0' when others;
end det;

More Related Content

More from Miguel Angel Peña

Amplificador bjt emisor comun (voltaje negativo)
Amplificador bjt emisor comun (voltaje negativo)Amplificador bjt emisor comun (voltaje negativo)
Amplificador bjt emisor comun (voltaje negativo)Miguel Angel Peña
 
Diseño de amplificador emisor seguidor (colector comun) bjt y simulacion
Diseño de amplificador emisor seguidor (colector comun) bjt y simulacionDiseño de amplificador emisor seguidor (colector comun) bjt y simulacion
Diseño de amplificador emisor seguidor (colector comun) bjt y simulacionMiguel Angel Peña
 
TRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904 CALCULO DE PUNTO Q
TRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904  CALCULO DE PUNTO QTRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904  CALCULO DE PUNTO Q
TRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904 CALCULO DE PUNTO QMiguel Angel Peña
 
controlar motor paso a paso por puerto serie
controlar motor paso a paso por puerto seriecontrolar motor paso a paso por puerto serie
controlar motor paso a paso por puerto serieMiguel Angel Peña
 
Teorema de máxima transferencia de potencia practica
Teorema de máxima transferencia de potencia practicaTeorema de máxima transferencia de potencia practica
Teorema de máxima transferencia de potencia practicaMiguel Angel Peña
 
Obtencion de la curva i v del scr(practica)
Obtencion de la curva i v del scr(practica)Obtencion de la curva i v del scr(practica)
Obtencion de la curva i v del scr(practica)Miguel Angel Peña
 
Grafica iv del diodo de silicio (practica)
Grafica iv del diodo de silicio (practica)Grafica iv del diodo de silicio (practica)
Grafica iv del diodo de silicio (practica)Miguel Angel Peña
 
Determinación de parámetros del jfet(practica)
Determinación de parámetros del jfet(practica)Determinación de parámetros del jfet(practica)
Determinación de parámetros del jfet(practica)Miguel Angel Peña
 
Aplicaciones del bjt (investigacion)
Aplicaciones del bjt (investigacion)Aplicaciones del bjt (investigacion)
Aplicaciones del bjt (investigacion)Miguel Angel Peña
 
Prueba y operación básica del triac(practica)
Prueba y operación básica del triac(practica)Prueba y operación básica del triac(practica)
Prueba y operación básica del triac(practica)Miguel Angel Peña
 
Dispositivos opticos(investigacion)
Dispositivos opticos(investigacion)Dispositivos opticos(investigacion)
Dispositivos opticos(investigacion)Miguel Angel Peña
 
Graficas entrada y salida del transistor npn (simulacion con circuitmaker)
Graficas entrada y salida del transistor npn (simulacion con circuitmaker)Graficas entrada y salida del transistor npn (simulacion con circuitmaker)
Graficas entrada y salida del transistor npn (simulacion con circuitmaker)Miguel Angel Peña
 

More from Miguel Angel Peña (19)

Reporte vhdl9
Reporte vhdl9Reporte vhdl9
Reporte vhdl9
 
Reporte vhdl3
Reporte vhdl3Reporte vhdl3
Reporte vhdl3
 
Reporte vhdl5
Reporte vhdl5Reporte vhdl5
Reporte vhdl5
 
Numeros primos
Numeros primosNumeros primos
Numeros primos
 
Reporte vhdl6
Reporte vhdl6Reporte vhdl6
Reporte vhdl6
 
Amplificador bjt emisor comun (voltaje negativo)
Amplificador bjt emisor comun (voltaje negativo)Amplificador bjt emisor comun (voltaje negativo)
Amplificador bjt emisor comun (voltaje negativo)
 
Diseño de amplificador emisor seguidor (colector comun) bjt y simulacion
Diseño de amplificador emisor seguidor (colector comun) bjt y simulacionDiseño de amplificador emisor seguidor (colector comun) bjt y simulacion
Diseño de amplificador emisor seguidor (colector comun) bjt y simulacion
 
TRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904 CALCULO DE PUNTO Q
TRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904  CALCULO DE PUNTO QTRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904  CALCULO DE PUNTO Q
TRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904 CALCULO DE PUNTO Q
 
Funciones programacion
Funciones programacionFunciones programacion
Funciones programacion
 
controlar motor paso a paso por puerto serie
controlar motor paso a paso por puerto seriecontrolar motor paso a paso por puerto serie
controlar motor paso a paso por puerto serie
 
Teorema de máxima transferencia de potencia practica
Teorema de máxima transferencia de potencia practicaTeorema de máxima transferencia de potencia practica
Teorema de máxima transferencia de potencia practica
 
Obtencion de la curva i v del scr(practica)
Obtencion de la curva i v del scr(practica)Obtencion de la curva i v del scr(practica)
Obtencion de la curva i v del scr(practica)
 
Grafica iv del diodo de silicio (practica)
Grafica iv del diodo de silicio (practica)Grafica iv del diodo de silicio (practica)
Grafica iv del diodo de silicio (practica)
 
Determinación de parámetros del jfet(practica)
Determinación de parámetros del jfet(practica)Determinación de parámetros del jfet(practica)
Determinación de parámetros del jfet(practica)
 
Aplicaciones del bjt (investigacion)
Aplicaciones del bjt (investigacion)Aplicaciones del bjt (investigacion)
Aplicaciones del bjt (investigacion)
 
Practicca i v
Practicca i vPracticca i v
Practicca i v
 
Prueba y operación básica del triac(practica)
Prueba y operación básica del triac(practica)Prueba y operación básica del triac(practica)
Prueba y operación básica del triac(practica)
 
Dispositivos opticos(investigacion)
Dispositivos opticos(investigacion)Dispositivos opticos(investigacion)
Dispositivos opticos(investigacion)
 
Graficas entrada y salida del transistor npn (simulacion con circuitmaker)
Graficas entrada y salida del transistor npn (simulacion con circuitmaker)Graficas entrada y salida del transistor npn (simulacion con circuitmaker)
Graficas entrada y salida del transistor npn (simulacion con circuitmaker)
 

Check if Number is Prime

  • 1. library ieee; use ieee.std_logic_1164.all; entity primos is port(a:in std_logic_vector(3 downto 0); E:out std_logic); end primos; architecture det of primos is begin with a select E<= '1' when "0001", '1' when "0010", '1' when "0011", '1' when "0101", '1' when "0111", '1' when "1011", '1' when "1110", '0' when others; end det;