SlideShare a Scribd company logo
1 of 4
Download to read offline
vasanza
SISTEMAS DIGITALES 1
LECCIÓN 1P
Fecha: 2020/07/22 PAO1 2020-2021
Nombre: _________________________________________________ Paralelo: __________
Problema #1 (20%)
Cuál de las siguientes declaraciones concurrentes corresponde a la asignación de señales:
a) WITH s SELECT
f <= w0 WHEN '0',
w1 WHEN OTHERS;
b) Q<='0' WHEN (C=”0010”) ELSE '-';
c) Q<=(not(A) xnor B) WHEN S='1' ELSE (not(A) xor B);
d) S<=(not(A) xnor B) nand (C or not(D));
e) M<=A*B WHEN AC='1' ELSE “zzzz”;
Problema #2 (20%)
Seleccionar las declaraciones concurrentes que corresponden al comportamiento de la señal S en función
de las variables A, B y C, como se detalla en el M.K. siguiente:
S: C  A, B 00 01 11 10
0 0 1 0 1
1 ɸ ɸ ɸ ɸ
a) WITH A&B&C SELECT
S <= ‘ɸ’ WHEN “001” | “011” | “111” | “101”,
‘1’ WHEN “000” | “110”,
‘0’ WHEN OTHERS;
b) S<=not(A xnor B) WHEN C=’0’ ELSE ‘-’;
c) S<=(A xor B) WHEN C='1' ELSE '-';
d) S<=(A xor B) and (C);
e) S<= '-' WHEN C='1' ELSE (A xor B);
Problema #3 (20%)
Seleccionar las declaraciones concurrentes que corresponden a la ecuación booleana siguiente:
𝒔 = (𝒙ʘ𝒚)𝒛
a) WITH x&y&z SELECT
S <= ‘1’ WHEN “001” | “111”,
‘0’ WHEN OTHERS ;
b) S<=not(z) WHEN (x=y) ELSE z;
c) S<=(x XNOR y) WHEN z='1' ELSE '0';
d) S<=(x XNOR y) OR z;
e) S<=(x XNOR y) NAND z;
vasanza
Problema #4 (20%)
Implementar con compuertas NAND de 2 entradas:
(𝑨̅⨁𝑩)C
a)
b)
c)
vasanza
d)
Problema #5 (20%)
Identificar cuál de los circuitos indicados en los literales, corresponde al código VHDL siguiente:
Library ieee;
Use ieee.std_logic_1164.all;
Use ieee.std_logic_signed.all;
Entity hsuk is
Port(A,B,C: in std_logic;
S: out std_logic);
End husk;
architecture yppirk of hsuk is
-- declaración de señales
signal X: bit_vector(1 to 8);
-- declaración de componentes
component NAND
port (I1, I2: in bit;
O: out bit);
end component;
begin
--instanciación de componentes
U1: NAND port map (A, A, X(1));
U2: NAND port map (B, B, X(2));
U3: NAND port map (B, A, X(3));
U4: NAND port map (X(1), X(2), X(4));
U5: NAND port map (X(3), X(3), X(5));
U6: NAND port map (X(4), X(4), X(6));
U7: NAND port map (X(5), C, X(7));
U8: NAND port map (C, X(6), X(8));
U9: NAND port map (X(8), X(7), S);
end yppirk;
a)
vasanza
b)
c)
d)

More Related Content

What's hot

⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
Victor Asanza
 
Respuestas a las preguntas de la profe sobre parte A-B
Respuestas a las preguntas de la profe sobre parte A-BRespuestas a las preguntas de la profe sobre parte A-B
Respuestas a las preguntas de la profe sobre parte A-B
matias526
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, M...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, M...⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, M...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, M...
Victor Asanza
 

What's hot (20)

⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
 
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, Cap ...
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, Cap ...⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, Cap ...
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, Cap ...
 
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, SOLUCIÓN EXAMEN 2do PARCIAL (2018 1er Término)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, SOLUCIÓN EXAMEN 2do PARCIAL (2018 1er Término)⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, SOLUCIÓN EXAMEN 2do PARCIAL (2018 1er Término)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, SOLUCIÓN EXAMEN 2do PARCIAL (2018 1er Término)
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 2do Parcial (2020 PAO 1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 2do Parcial (2020 PAO 1)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 2do Parcial (2020 PAO 1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 2do Parcial (2020 PAO 1)
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 2do Parcial (2020 PAO 2)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 2do Parcial (2020 PAO 2)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 2do Parcial (2020 PAO 2)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 2do Parcial (2020 PAO 2)
 
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, SOLUCIÓN EXAMEN 1er PARCIAL (2018 1er Término)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, SOLUCIÓN EXAMEN 1er PARCIAL (2018 1er Término)⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, SOLUCIÓN EXAMEN 1er PARCIAL (2018 1er Término)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, SOLUCIÓN EXAMEN 1er PARCIAL (2018 1er Término)
 
Respuestas a las preguntas de la profe sobre parte A-B
Respuestas a las preguntas de la profe sobre parte A-BRespuestas a las preguntas de la profe sobre parte A-B
Respuestas a las preguntas de la profe sobre parte A-B
 
1clase limites 2011 i
1clase limites 2011 i1clase limites 2011 i
1clase limites 2011 i
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, M...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, M...⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, M...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, M...
 
2 s3 factorización
2 s3 factorización2 s3 factorización
2 s3 factorización
 
La Funcion Exponencial
La Funcion ExponencialLa Funcion Exponencial
La Funcion Exponencial
 
⭐⭐⭐⭐⭐ FUNDAMENTOS DEL DISEÑO DIGITAL, EXAMEN RESUELTO MEJORAMIENTO (2018 2do ...
⭐⭐⭐⭐⭐ FUNDAMENTOS DEL DISEÑO DIGITAL, EXAMEN RESUELTO MEJORAMIENTO (2018 2do ...⭐⭐⭐⭐⭐ FUNDAMENTOS DEL DISEÑO DIGITAL, EXAMEN RESUELTO MEJORAMIENTO (2018 2do ...
⭐⭐⭐⭐⭐ FUNDAMENTOS DEL DISEÑO DIGITAL, EXAMEN RESUELTO MEJORAMIENTO (2018 2do ...
 
Clase 04 CDI
Clase 04 CDIClase 04 CDI
Clase 04 CDI
 
⭐⭐⭐⭐⭐ FUNDAMENTOS DEL DISEÑO DIGITAL, LECCIÓN RESUELTA 1er PARCIAL (2019 1er ...
⭐⭐⭐⭐⭐ FUNDAMENTOS DEL DISEÑO DIGITAL, LECCIÓN RESUELTA 1er PARCIAL (2019 1er ...⭐⭐⭐⭐⭐ FUNDAMENTOS DEL DISEÑO DIGITAL, LECCIÓN RESUELTA 1er PARCIAL (2019 1er ...
⭐⭐⭐⭐⭐ FUNDAMENTOS DEL DISEÑO DIGITAL, LECCIÓN RESUELTA 1er PARCIAL (2019 1er ...
 
Algoritmo de la ecuación cuadrática
Algoritmo de la ecuación cuadráticaAlgoritmo de la ecuación cuadrática
Algoritmo de la ecuación cuadrática
 
Presentación1
Presentación1Presentación1
Presentación1
 
FuncióN Exponencial
FuncióN ExponencialFuncióN Exponencial
FuncióN Exponencial
 
Clase 06 CDI
Clase 06 CDIClase 06 CDI
Clase 06 CDI
 
Derivadas
DerivadasDerivadas
Derivadas
 
Taller
TallerTaller
Taller
 

Similar to ⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 1er Parcial (2020 PAO 1) B

Sistemas electronicos digitales
Sistemas electronicos digitalesSistemas electronicos digitales
Sistemas electronicos digitales
Francesc Perez
 
Micc mej feb18_2010_solucion
Micc mej feb18_2010_solucionMicc mej feb18_2010_solucion
Micc mej feb18_2010_solucion
Jair BG
 
Clase03 digitales powerpoint
Clase03   digitales  powerpointClase03   digitales  powerpoint
Clase03 digitales powerpoint
edgartic
 
Micc mej sept16_2010
Micc mej sept16_2010Micc mej sept16_2010
Micc mej sept16_2010
Jair BG
 
Circuitosdigitales
CircuitosdigitalesCircuitosdigitales
Circuitosdigitales
edgarj200010
 
Micc mejoramiento feb_28_2009_soluc
Micc mejoramiento feb_28_2009_solucMicc mejoramiento feb_28_2009_soluc
Micc mejoramiento feb_28_2009_soluc
Jair BG
 
Interpretadores de Datos Digitales
Interpretadores de Datos DigitalesInterpretadores de Datos Digitales
Interpretadores de Datos Digitales
Alex Vasquez
 

Similar to ⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 1er Parcial (2020 PAO 1) B (20)

⭐⭐⭐⭐⭐ FUNDAMENTOS DEL DISEÑO DIGITAL, LECCIÓN B RESUELTA 1er PARCIAL (2019 1e...
⭐⭐⭐⭐⭐ FUNDAMENTOS DEL DISEÑO DIGITAL, LECCIÓN B RESUELTA 1er PARCIAL (2019 1e...⭐⭐⭐⭐⭐ FUNDAMENTOS DEL DISEÑO DIGITAL, LECCIÓN B RESUELTA 1er PARCIAL (2019 1e...
⭐⭐⭐⭐⭐ FUNDAMENTOS DEL DISEÑO DIGITAL, LECCIÓN B RESUELTA 1er PARCIAL (2019 1e...
 
Csd producto académico 01
Csd   producto académico 01Csd   producto académico 01
Csd producto académico 01
 
Sistemas electronicos digitales
Sistemas electronicos digitalesSistemas electronicos digitales
Sistemas electronicos digitales
 
7_vhdl.pdf
7_vhdl.pdf7_vhdl.pdf
7_vhdl.pdf
 
Ejercicios_TeoriaOCW_zuz.pdf
Ejercicios_TeoriaOCW_zuz.pdfEjercicios_TeoriaOCW_zuz.pdf
Ejercicios_TeoriaOCW_zuz.pdf
 
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, SOLUCIÓN LECCIÓN 2do PARCIAL (2017 1er Término)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, SOLUCIÓN LECCIÓN 2do PARCIAL (2017 1er Término)⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, SOLUCIÓN LECCIÓN 2do PARCIAL (2017 1er Término)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, SOLUCIÓN LECCIÓN 2do PARCIAL (2017 1er Término)
 
Electrónica digital: Maquina de estado
Electrónica digital: Maquina de estado Electrónica digital: Maquina de estado
Electrónica digital: Maquina de estado
 
Vhdl2
Vhdl2Vhdl2
Vhdl2
 
Lenguajes máquina y ensamblador
Lenguajes máquina y ensambladorLenguajes máquina y ensamblador
Lenguajes máquina y ensamblador
 
Micc mej feb18_2010_solucion
Micc mej feb18_2010_solucionMicc mej feb18_2010_solucion
Micc mej feb18_2010_solucion
 
Clase03 digitales powerpoint
Clase03   digitales  powerpointClase03   digitales  powerpoint
Clase03 digitales powerpoint
 
Electrónica digital: sistemas secuenciales maquina de estado
Electrónica digital: sistemas secuenciales maquina de estadoElectrónica digital: sistemas secuenciales maquina de estado
Electrónica digital: sistemas secuenciales maquina de estado
 
Comdi p7
Comdi p7Comdi p7
Comdi p7
 
Sistema digitales trabajo academico
Sistema digitales trabajo academicoSistema digitales trabajo academico
Sistema digitales trabajo academico
 
Jose montilla respuestas de ejercicios grafos
Jose montilla respuestas de ejercicios grafosJose montilla respuestas de ejercicios grafos
Jose montilla respuestas de ejercicios grafos
 
Criptografía Cuántica: La última frontera
Criptografía Cuántica: La última fronteraCriptografía Cuántica: La última frontera
Criptografía Cuántica: La última frontera
 
Micc mej sept16_2010
Micc mej sept16_2010Micc mej sept16_2010
Micc mej sept16_2010
 
Circuitosdigitales
CircuitosdigitalesCircuitosdigitales
Circuitosdigitales
 
Micc mejoramiento feb_28_2009_soluc
Micc mejoramiento feb_28_2009_solucMicc mejoramiento feb_28_2009_soluc
Micc mejoramiento feb_28_2009_soluc
 
Interpretadores de Datos Digitales
Interpretadores de Datos DigitalesInterpretadores de Datos Digitales
Interpretadores de Datos Digitales
 

More from Victor Asanza

⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
Victor Asanza
 
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
Victor Asanza
 

More from Victor Asanza (20)

⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...
⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...
⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
 
⭐⭐⭐⭐⭐ CV Victor Asanza
⭐⭐⭐⭐⭐ CV Victor Asanza⭐⭐⭐⭐⭐ CV Victor Asanza
⭐⭐⭐⭐⭐ CV Victor Asanza
 
⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms
⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms
⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms
 
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
 
⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...
⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...
⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...
 
⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...
⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...
⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)
 
⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB
⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB ⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB
⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB
 
⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...
⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...
⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...
 
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6
 
⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...
⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...
⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
 
⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...
⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...
⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...
 
⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station
⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station
⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station
 
⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi
⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi
⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi
 
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
 
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)
 
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, PROYECTOS PROPUESTOS (2021 PAE)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, PROYECTOS PROPUESTOS (2021 PAE)⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, PROYECTOS PROPUESTOS (2021 PAE)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, PROYECTOS PROPUESTOS (2021 PAE)
 

Recently uploaded

6°_GRADO_-_MAYO_06 para sexto grado de primaria
6°_GRADO_-_MAYO_06 para sexto grado de primaria6°_GRADO_-_MAYO_06 para sexto grado de primaria
6°_GRADO_-_MAYO_06 para sexto grado de primaria
Wilian24
 
TEMA 14.DERIVACIONES ECONÓMICAS, SOCIALES Y POLÍTICAS DEL PROCESO DE INTEGRAC...
TEMA 14.DERIVACIONES ECONÓMICAS, SOCIALES Y POLÍTICAS DEL PROCESO DE INTEGRAC...TEMA 14.DERIVACIONES ECONÓMICAS, SOCIALES Y POLÍTICAS DEL PROCESO DE INTEGRAC...
TEMA 14.DERIVACIONES ECONÓMICAS, SOCIALES Y POLÍTICAS DEL PROCESO DE INTEGRAC...
jlorentemartos
 
NUEVAS DIAPOSITIVAS POSGRADO Gestion Publica.pdf
NUEVAS DIAPOSITIVAS POSGRADO Gestion Publica.pdfNUEVAS DIAPOSITIVAS POSGRADO Gestion Publica.pdf
NUEVAS DIAPOSITIVAS POSGRADO Gestion Publica.pdf
UPTAIDELTACHIRA
 
TALLER DE DEMOCRACIA Y GOBIERNO ESCOLAR-COMPETENCIAS N°3.docx
TALLER DE DEMOCRACIA Y GOBIERNO ESCOLAR-COMPETENCIAS N°3.docxTALLER DE DEMOCRACIA Y GOBIERNO ESCOLAR-COMPETENCIAS N°3.docx
TALLER DE DEMOCRACIA Y GOBIERNO ESCOLAR-COMPETENCIAS N°3.docx
NadiaMartnez11
 

Recently uploaded (20)

Plan-de-la-Patria-2019-2025- TERCER PLAN SOCIALISTA DE LA NACIÓN.pdf
Plan-de-la-Patria-2019-2025- TERCER PLAN SOCIALISTA DE LA NACIÓN.pdfPlan-de-la-Patria-2019-2025- TERCER PLAN SOCIALISTA DE LA NACIÓN.pdf
Plan-de-la-Patria-2019-2025- TERCER PLAN SOCIALISTA DE LA NACIÓN.pdf
 
Feliz Día de la Madre - 5 de Mayo, 2024.pdf
Feliz Día de la Madre - 5 de Mayo, 2024.pdfFeliz Día de la Madre - 5 de Mayo, 2024.pdf
Feliz Día de la Madre - 5 de Mayo, 2024.pdf
 
TRABAJO FINAL TOPOGRAFÍA COMPLETO DE LA UPC
TRABAJO FINAL TOPOGRAFÍA COMPLETO DE LA UPCTRABAJO FINAL TOPOGRAFÍA COMPLETO DE LA UPC
TRABAJO FINAL TOPOGRAFÍA COMPLETO DE LA UPC
 
LA LITERATURA DEL BARROCO 2023-2024pptx.pptx
LA LITERATURA DEL BARROCO 2023-2024pptx.pptxLA LITERATURA DEL BARROCO 2023-2024pptx.pptx
LA LITERATURA DEL BARROCO 2023-2024pptx.pptx
 
Factores que intervienen en la Administración por Valores.pdf
Factores que intervienen en la Administración por Valores.pdfFactores que intervienen en la Administración por Valores.pdf
Factores que intervienen en la Administración por Valores.pdf
 
6°_GRADO_-_MAYO_06 para sexto grado de primaria
6°_GRADO_-_MAYO_06 para sexto grado de primaria6°_GRADO_-_MAYO_06 para sexto grado de primaria
6°_GRADO_-_MAYO_06 para sexto grado de primaria
 
La Sostenibilidad Corporativa. Administración Ambiental
La Sostenibilidad Corporativa. Administración AmbientalLa Sostenibilidad Corporativa. Administración Ambiental
La Sostenibilidad Corporativa. Administración Ambiental
 
Prueba libre de Geografía para obtención título Bachillerato - 2024
Prueba libre de Geografía para obtención título Bachillerato - 2024Prueba libre de Geografía para obtención título Bachillerato - 2024
Prueba libre de Geografía para obtención título Bachillerato - 2024
 
Tema 10. Dinámica y funciones de la Atmosfera 2024
Tema 10. Dinámica y funciones de la Atmosfera 2024Tema 10. Dinámica y funciones de la Atmosfera 2024
Tema 10. Dinámica y funciones de la Atmosfera 2024
 
TIENDAS MASS MINIMARKET ESTUDIO DE MERCADO
TIENDAS MASS MINIMARKET ESTUDIO DE MERCADOTIENDAS MASS MINIMARKET ESTUDIO DE MERCADO
TIENDAS MASS MINIMARKET ESTUDIO DE MERCADO
 
Supuestos_prácticos_funciones.docx
Supuestos_prácticos_funciones.docxSupuestos_prácticos_funciones.docx
Supuestos_prácticos_funciones.docx
 
ACRÓNIMO DE PARÍS PARA SU OLIMPIADA 2024. Por JAVIER SOLIS NOYOLA
ACRÓNIMO DE PARÍS PARA SU OLIMPIADA 2024. Por JAVIER SOLIS NOYOLAACRÓNIMO DE PARÍS PARA SU OLIMPIADA 2024. Por JAVIER SOLIS NOYOLA
ACRÓNIMO DE PARÍS PARA SU OLIMPIADA 2024. Por JAVIER SOLIS NOYOLA
 
Sesión de clase APC: Los dos testigos.pdf
Sesión de clase APC: Los dos testigos.pdfSesión de clase APC: Los dos testigos.pdf
Sesión de clase APC: Los dos testigos.pdf
 
PINTURA DEL RENACIMIENTO EN ESPAÑA (SIGLO XVI).ppt
PINTURA DEL RENACIMIENTO EN ESPAÑA (SIGLO XVI).pptPINTURA DEL RENACIMIENTO EN ESPAÑA (SIGLO XVI).ppt
PINTURA DEL RENACIMIENTO EN ESPAÑA (SIGLO XVI).ppt
 
TEMA 14.DERIVACIONES ECONÓMICAS, SOCIALES Y POLÍTICAS DEL PROCESO DE INTEGRAC...
TEMA 14.DERIVACIONES ECONÓMICAS, SOCIALES Y POLÍTICAS DEL PROCESO DE INTEGRAC...TEMA 14.DERIVACIONES ECONÓMICAS, SOCIALES Y POLÍTICAS DEL PROCESO DE INTEGRAC...
TEMA 14.DERIVACIONES ECONÓMICAS, SOCIALES Y POLÍTICAS DEL PROCESO DE INTEGRAC...
 
Sesión de clase: Fe contra todo pronóstico
Sesión de clase: Fe contra todo pronósticoSesión de clase: Fe contra todo pronóstico
Sesión de clase: Fe contra todo pronóstico
 
Posición astronómica y geográfica de Europa.pptx
Posición astronómica y geográfica de Europa.pptxPosición astronómica y geográfica de Europa.pptx
Posición astronómica y geográfica de Europa.pptx
 
NUEVAS DIAPOSITIVAS POSGRADO Gestion Publica.pdf
NUEVAS DIAPOSITIVAS POSGRADO Gestion Publica.pdfNUEVAS DIAPOSITIVAS POSGRADO Gestion Publica.pdf
NUEVAS DIAPOSITIVAS POSGRADO Gestion Publica.pdf
 
TALLER DE DEMOCRACIA Y GOBIERNO ESCOLAR-COMPETENCIAS N°3.docx
TALLER DE DEMOCRACIA Y GOBIERNO ESCOLAR-COMPETENCIAS N°3.docxTALLER DE DEMOCRACIA Y GOBIERNO ESCOLAR-COMPETENCIAS N°3.docx
TALLER DE DEMOCRACIA Y GOBIERNO ESCOLAR-COMPETENCIAS N°3.docx
 
INSTRUCCION PREPARATORIA DE TIRO .pptx
INSTRUCCION PREPARATORIA DE TIRO   .pptxINSTRUCCION PREPARATORIA DE TIRO   .pptx
INSTRUCCION PREPARATORIA DE TIRO .pptx
 

⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 1er Parcial (2020 PAO 1) B

  • 1. vasanza SISTEMAS DIGITALES 1 LECCIÓN 1P Fecha: 2020/07/22 PAO1 2020-2021 Nombre: _________________________________________________ Paralelo: __________ Problema #1 (20%) Cuál de las siguientes declaraciones concurrentes corresponde a la asignación de señales: a) WITH s SELECT f <= w0 WHEN '0', w1 WHEN OTHERS; b) Q<='0' WHEN (C=”0010”) ELSE '-'; c) Q<=(not(A) xnor B) WHEN S='1' ELSE (not(A) xor B); d) S<=(not(A) xnor B) nand (C or not(D)); e) M<=A*B WHEN AC='1' ELSE “zzzz”; Problema #2 (20%) Seleccionar las declaraciones concurrentes que corresponden al comportamiento de la señal S en función de las variables A, B y C, como se detalla en el M.K. siguiente: S: C A, B 00 01 11 10 0 0 1 0 1 1 ɸ ɸ ɸ ɸ a) WITH A&B&C SELECT S <= ‘ɸ’ WHEN “001” | “011” | “111” | “101”, ‘1’ WHEN “000” | “110”, ‘0’ WHEN OTHERS; b) S<=not(A xnor B) WHEN C=’0’ ELSE ‘-’; c) S<=(A xor B) WHEN C='1' ELSE '-'; d) S<=(A xor B) and (C); e) S<= '-' WHEN C='1' ELSE (A xor B); Problema #3 (20%) Seleccionar las declaraciones concurrentes que corresponden a la ecuación booleana siguiente: 𝒔 = (𝒙ʘ𝒚)𝒛 a) WITH x&y&z SELECT S <= ‘1’ WHEN “001” | “111”, ‘0’ WHEN OTHERS ; b) S<=not(z) WHEN (x=y) ELSE z; c) S<=(x XNOR y) WHEN z='1' ELSE '0'; d) S<=(x XNOR y) OR z; e) S<=(x XNOR y) NAND z;
  • 2. vasanza Problema #4 (20%) Implementar con compuertas NAND de 2 entradas: (𝑨̅⨁𝑩)C a) b) c)
  • 3. vasanza d) Problema #5 (20%) Identificar cuál de los circuitos indicados en los literales, corresponde al código VHDL siguiente: Library ieee; Use ieee.std_logic_1164.all; Use ieee.std_logic_signed.all; Entity hsuk is Port(A,B,C: in std_logic; S: out std_logic); End husk; architecture yppirk of hsuk is -- declaración de señales signal X: bit_vector(1 to 8); -- declaración de componentes component NAND port (I1, I2: in bit; O: out bit); end component; begin --instanciación de componentes U1: NAND port map (A, A, X(1)); U2: NAND port map (B, B, X(2)); U3: NAND port map (B, A, X(3)); U4: NAND port map (X(1), X(2), X(4)); U5: NAND port map (X(3), X(3), X(5)); U6: NAND port map (X(4), X(4), X(6)); U7: NAND port map (X(5), C, X(7)); U8: NAND port map (C, X(6), X(8)); U9: NAND port map (X(8), X(7), S); end yppirk; a)