SlideShare a Scribd company logo
1 of 4
Download to read offline
vasanza 1
FUNDAMENTOS DEL DISEÑO DIGITAL
LECCIÓN 1P (30/100)
Fecha: 2019/06/26 I termino 2019-2020
Nombre: _________________________________________________ Paralelo: __________
Problema #1: (10%)
Dado el siguiente código en VHDL, ¿cuál de las siguientes respuestas explica la relación entre señales de
salida y entrada?
a) 𝐿𝑎 𝑠𝑒ñ𝑎𝑙 𝑑𝑒 𝑠𝑎𝑙𝑖𝑑𝑎 𝑸 𝑡𝑖𝑒𝑛𝑒 𝑒𝑙 𝑣𝑎𝑙𝑜𝑟 𝑑𝑒 𝑨 + 𝑩 𝑠𝑖 𝑙𝑎 𝑠𝑒ñ𝑎𝑙 𝒔 𝑒𝑠 𝟏 𝑦 𝑑𝑒 𝑨 𝑠𝑖 𝒔 𝑒𝑠 𝟎.
b) La señal de salida Q tiene el valor de A si la señal s es 0 y de B si s es 1.
c) 𝐿𝑎 𝑠𝑒ñ𝑎𝑙 𝑑𝑒 𝑠𝑎𝑙𝑖𝑑𝑎 𝑸 𝑡𝑖𝑒𝑛𝑒 𝑒𝑙 𝑣𝑎𝑙𝑜𝑟 𝑑𝑒 𝑨 𝑠𝑖 𝑙𝑎 𝑠𝑒ñ𝑎𝑙 𝒔 𝑒𝑠 𝟎 𝑦 𝑑𝑒 𝑨𝒙𝒐𝒓𝑩 𝑠𝑖 𝒔 𝑒𝑠 𝟏.
d) 𝐿𝑎 𝑠𝑒ñ𝑎𝑙 𝑑𝑒 𝑠𝑎𝑙𝑖𝑑𝑎 𝑸 𝑡𝑖𝑒𝑛𝑒 𝑒𝑙 𝑣𝑎𝑙𝑜𝑟 𝑑𝑒 𝑨 𝑠𝑖 𝑙𝑎 𝑠𝑒ñ𝑎𝑙 𝒔 𝑒𝑠 𝟏 𝑦 𝑑𝑒 𝑩 𝑠𝑖 𝒔 𝑒𝑠 𝟎.
Problema #2: (10%)
Cuál de las siguientes afirmaciones NO es correcta:
a) Siempre se debe incluir las librerías: LIBRARY ieee; use ieee.std_logic_1164.all;
b) El modo BUFFER se utiliza en señales que además de salir de la entidad, pueden usarse como
señales realimentadas.
c) Si quiero usar el tipo de dato Std_logic_Vector en operaciones aritméticas sin signo y en
operaciones de Relación, debo incluir la librería: use ieee.std_logic_unsigned.all;
d) En la declaración de entidades, se definen las señales de entrada y salida de un circuito, con el
siguiente nivel de detalle: nombres, tamaño (de 0 a n bits), modo (entrada, salida, ...),
comportamiento de las señales (asignación de señales, condicionales y selección) y tipo (integer,
bit,...).
e) Si quiero usar el tipo de dato Std_logic_Vector en operaciones aritméticas con signo y en
operaciones de Relación, debo incluir la librería: use ieee.std_logic_signed.all;
vasanza 2
Problema #3: (10%)
Cuál de las siguientes afirmaciones NO es correcta:
a) Asignación directa: Debo conocer la expresión booleana que define la señal de salida. Permite
asignar a las salidas solo dos valores booleanos 1 o 0.
b) Asignación Condicional: Es necesario conocer de forma descriptiva el comportamiento de las
señales de salida. Permite asignar una expresiones booleanas y valores como ‘-’ y ‘z’.
c) Asignación por selección: Necesitamos tener a la mano la tabla de verdad que define el
comportamiento de las señales de salida. Permite asignar múltiples valores a las señales de salida:
‘1’, ‘0’, ‘-’ y ‘z’.
d) Ninguna de las anteriores.
Problema #4: (20%)
Dado el siguiente código en VHDL, ¿cuál de los siguientes mapas de karnaugh NO describe la relación
entre señales de salida y entrada?
a)
𝑆𝐸𝐺75−3:
𝐵𝐶𝐷1 𝐵𝐶𝐷0 𝐵𝐶𝐷3 𝐵𝐶𝐷2 00 01 11 10
00 000 001 111 000
01 001 100 111 000
11 000 001 111 111
10 010 100 111 111
b)
𝑆𝐸𝐺76−4:
𝐵𝐶𝐷1 𝐵𝐶𝐷0 𝐵𝐶𝐷3 𝐵𝐶𝐷2 00 01 11 10
00 000 100 111 000
01 100 010 111 000
11 000 000 111 111
10 001 010 111 111
c)
𝑆𝐸𝐺73−1:
𝐵𝐶𝐷1 𝐵𝐶𝐷0 𝐵𝐶𝐷3 𝐵𝐶𝐷2 00 01 11 10
00 000 001 111 000
01 111 101 111 010
11 011 000 111 111
10 001 111 111 111
d)
𝑆𝐸𝐺74−2:
𝐵𝐶𝐷1 𝐵𝐶𝐷0 𝐵𝐶𝐷3 𝐵𝐶𝐷2 00 01 11 10
00 000 011 111 000
01 011 001 111 001
11 001 011 111 111
10 100 000 111 111
vasanza 3
Problema #5: (20%)
Dado el siguiente código en VHDL, ¿cuál de los siguientes mapas de karnaugh describe la relación entre
señales de salida y entrada?
a)
Q:
𝐼0 𝐼1 𝑆1,𝑆0 00 01 11 10
00 0 0 𝐼2 𝐼3
01 1 1 𝐼2 𝐼3
11 0 0 𝐼2 𝐼3
10 1 1 𝐼2 𝐼3
b)
Q:
𝐼0 𝐼1 𝑆1,𝑆0 00 01 11 10
00 0 0 𝐼3 𝐼2
01 0 1 𝐼3 𝐼2
11 1 1 𝐼3 𝐼2
10 1 0 𝐼3 𝐼2
c)
Q:
𝐼0 𝐼1 𝑆1,𝑆0 00 01 11 10
00 0 0 𝐼3 𝐼2
01 1 0 𝐼3 𝐼2
11 0 1 𝐼3 𝐼2
10 1 1 𝐼3 𝐼2
d)
Q:
𝐼0 𝐼1 𝑆1,𝑆0 00 01 11 10
00 0 0 𝐼3 𝐼2
01 1 1 𝐼3 𝐼2
11 0 0 𝐼3 𝐼2
10 1 1 𝐼3 𝐼2
Problema #6: (10%)
Cuál de las siguientes afirmaciones referentes al package NO es correcta:
a) Si utilizo package también debo enlistar en la arquitectura los componentes mapeados.
b) Para enlistar los componentes en el package usamos la palabra reservada COMPONENT y al final
END COMPONENT. En reemplazo al ENTITY y al END nombre_entity, respectivamente.
c) El archivo nombre_package.vhdl deberá estar en la misma carpeta donde esté el archivo VHDL
que lo utilice.
d) Para utilizar el package debemos incluir en las librerías: use work.nombre_package.all;
vasanza 4
Problema #7: (20%)
Identificar cuál de los circuitos indicados en los literales, corresponde al código VHDL siguiente:
Library ieee;
Use ieee.std_logic_1164.all;
Use ieee.std_logic_signed.all;
Entity hsuk is
Port(A,B,C: in std_logic;
S: out std_logic);
End husk;
architecture yppirk of hsuk is
-- declaración de señales
signal X: bit_vector(1 to 8);
-- declaración de componentes
component NAND
port (I1, I2: in bit;
O: out bit);
end component;
begin
--instanciación de componentes
U1: NAND port map (A, A, X(1));
U2: NAND port map (B, B, X(2));
U3: NAND port map (B, A, X(3));
U4: NAND port map (X(1), X(2), X(4));
U5: NAND port map (X(3), X(3), X(5));
U6: NAND port map (X(4), X(4), X(6));
U7: NAND port map (X(5), C, X(7));
U8: NAND port map (C, X(6), X(8));
U9: NAND port map (X(8), X(7), S);
end yppirk;
a)
b)
c)
d)

More Related Content

What's hot

⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN DESARROLLO DE PROTOTIPOS ELECTRONICOS, 1er Parcial (202...
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN DESARROLLO DE PROTOTIPOS ELECTRONICOS, 1er Parcial (202...⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN DESARROLLO DE PROTOTIPOS ELECTRONICOS, 1er Parcial (202...
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN DESARROLLO DE PROTOTIPOS ELECTRONICOS, 1er Parcial (202...
Victor Asanza
 
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, LECCIÓN 1 RESUELTA 2do PARCIAL (2019 2do ...
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, LECCIÓN 1 RESUELTA 2do PARCIAL (2019 2do ...⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, LECCIÓN 1 RESUELTA 2do PARCIAL (2019 2do ...
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, LECCIÓN 1 RESUELTA 2do PARCIAL (2019 2do ...
Victor Asanza
 
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, LECCIÓN 2 RESUELTA 2do PARCIAL (2019 2do ...
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, LECCIÓN 2 RESUELTA 2do PARCIAL (2019 2do ...⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, LECCIÓN 2 RESUELTA 2do PARCIAL (2019 2do ...
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, LECCIÓN 2 RESUELTA 2do PARCIAL (2019 2do ...
Victor Asanza
 
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, EXAMEN RESUELTO 1er PARCIAL (2019 2do Tér...
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, EXAMEN RESUELTO 1er PARCIAL (2019 2do Tér...⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, EXAMEN RESUELTO 1er PARCIAL (2019 2do Tér...
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, EXAMEN RESUELTO 1er PARCIAL (2019 2do Tér...
Victor Asanza
 
Micc final feb14_2009
Micc final feb14_2009Micc final feb14_2009
Micc final feb14_2009
Jair BG
 
Micc final sept05_2009
Micc final sept05_2009Micc final sept05_2009
Micc final sept05_2009
Jair BG
 
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
Victor Asanza
 
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, TALLER RESUELTO 1ra EVALUACIÓN (2019 2do ...
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, TALLER RESUELTO 1ra EVALUACIÓN (2019 2do ...⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, TALLER RESUELTO 1ra EVALUACIÓN (2019 2do ...
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, TALLER RESUELTO 1ra EVALUACIÓN (2019 2do ...
Victor Asanza
 
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, EXAMEN B RESUELTO 1er PARCIAL (2019 1er T...
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, EXAMEN B RESUELTO 1er PARCIAL (2019 1er T...⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, EXAMEN B RESUELTO 1er PARCIAL (2019 1er T...
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, EXAMEN B RESUELTO 1er PARCIAL (2019 1er T...
Victor Asanza
 
⭐⭐⭐⭐⭐ LECCIÓN SISTEMAS EMBEBIDOS, 2do Parcial (2020 PAO 2) C6
⭐⭐⭐⭐⭐ LECCIÓN SISTEMAS EMBEBIDOS, 2do Parcial (2020 PAO 2) C6⭐⭐⭐⭐⭐ LECCIÓN SISTEMAS EMBEBIDOS, 2do Parcial (2020 PAO 2) C6
⭐⭐⭐⭐⭐ LECCIÓN SISTEMAS EMBEBIDOS, 2do Parcial (2020 PAO 2) C6
Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 2do Parcial (2020 PAO 2)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 2do Parcial (2020 PAO 2)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 2do Parcial (2020 PAO 2)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 2do Parcial (2020 PAO 2)
Victor Asanza
 
Micc parcial dic9_2010 - copia (1)_sol
Micc parcial dic9_2010 - copia (1)_solMicc parcial dic9_2010 - copia (1)_sol
Micc parcial dic9_2010 - copia (1)_sol
Jair BG
 
Micc mejoramiento-sept2011-solucion
Micc mejoramiento-sept2011-solucionMicc mejoramiento-sept2011-solucion
Micc mejoramiento-sept2011-solucion
Jair BG
 

What's hot (20)

⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN DESARROLLO DE PROTOTIPOS ELECTRONICOS, 1er Parcial (202...
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN DESARROLLO DE PROTOTIPOS ELECTRONICOS, 1er Parcial (202...⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN DESARROLLO DE PROTOTIPOS ELECTRONICOS, 1er Parcial (202...
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN DESARROLLO DE PROTOTIPOS ELECTRONICOS, 1er Parcial (202...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2020 PAO 1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2020 PAO 1)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2020 PAO 1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2020 PAO 1)
 
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, LECCIÓN 1 RESUELTA 2do PARCIAL (2019 2do ...
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, LECCIÓN 1 RESUELTA 2do PARCIAL (2019 2do ...⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, LECCIÓN 1 RESUELTA 2do PARCIAL (2019 2do ...
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, LECCIÓN 1 RESUELTA 2do PARCIAL (2019 2do ...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, Mejoramiento (2020 PAO 1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, Mejoramiento (2020 PAO 1)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, Mejoramiento (2020 PAO 1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, Mejoramiento (2020 PAO 1)
 
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, LECCIÓN 2 RESUELTA 2do PARCIAL (2019 2do ...
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, LECCIÓN 2 RESUELTA 2do PARCIAL (2019 2do ...⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, LECCIÓN 2 RESUELTA 2do PARCIAL (2019 2do ...
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, LECCIÓN 2 RESUELTA 2do PARCIAL (2019 2do ...
 
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN DESARROLLO DE PROTOTIPOS ELECTRÓNICOS, 1er Parcial (20...
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN DESARROLLO DE PROTOTIPOS ELECTRÓNICOS, 1er Parcial (20...⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN DESARROLLO DE PROTOTIPOS ELECTRÓNICOS, 1er Parcial (20...
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN DESARROLLO DE PROTOTIPOS ELECTRÓNICOS, 1er Parcial (20...
 
Micc final sep02_2010 solucion
Micc final sep02_2010 solucionMicc final sep02_2010 solucion
Micc final sep02_2010 solucion
 
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, LECCION PROPUESTA 2do PARCIAL (2018 2do Término)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, LECCION PROPUESTA 2do PARCIAL (2018 2do Término)⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, LECCION PROPUESTA 2do PARCIAL (2018 2do Término)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, LECCION PROPUESTA 2do PARCIAL (2018 2do Término)
 
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2020 PAO 1)
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2020 PAO 1)⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2020 PAO 1)
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2020 PAO 1)
 
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, EXAMEN RESUELTO 1er PARCIAL (2019 2do Tér...
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, EXAMEN RESUELTO 1er PARCIAL (2019 2do Tér...⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, EXAMEN RESUELTO 1er PARCIAL (2019 2do Tér...
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, EXAMEN RESUELTO 1er PARCIAL (2019 2do Tér...
 
Micc final feb14_2009
Micc final feb14_2009Micc final feb14_2009
Micc final feb14_2009
 
Micc final sept05_2009
Micc final sept05_2009Micc final sept05_2009
Micc final sept05_2009
 
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2021PAO2)
 
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, TALLER RESUELTO 1ra EVALUACIÓN (2019 2do ...
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, TALLER RESUELTO 1ra EVALUACIÓN (2019 2do ...⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, TALLER RESUELTO 1ra EVALUACIÓN (2019 2do ...
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, TALLER RESUELTO 1ra EVALUACIÓN (2019 2do ...
 
Micc final feb14_2009_soluc
Micc final feb14_2009_solucMicc final feb14_2009_soluc
Micc final feb14_2009_soluc
 
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, EXAMEN B RESUELTO 1er PARCIAL (2019 1er T...
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, EXAMEN B RESUELTO 1er PARCIAL (2019 1er T...⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, EXAMEN B RESUELTO 1er PARCIAL (2019 1er T...
⭐⭐⭐⭐⭐ DISEÑO DE SISTEMAS DIGITALES, EXAMEN B RESUELTO 1er PARCIAL (2019 1er T...
 
⭐⭐⭐⭐⭐ LECCIÓN SISTEMAS EMBEBIDOS, 2do Parcial (2020 PAO 2) C6
⭐⭐⭐⭐⭐ LECCIÓN SISTEMAS EMBEBIDOS, 2do Parcial (2020 PAO 2) C6⭐⭐⭐⭐⭐ LECCIÓN SISTEMAS EMBEBIDOS, 2do Parcial (2020 PAO 2) C6
⭐⭐⭐⭐⭐ LECCIÓN SISTEMAS EMBEBIDOS, 2do Parcial (2020 PAO 2) C6
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 2do Parcial (2020 PAO 2)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 2do Parcial (2020 PAO 2)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 2do Parcial (2020 PAO 2)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 2do Parcial (2020 PAO 2)
 
Micc parcial dic9_2010 - copia (1)_sol
Micc parcial dic9_2010 - copia (1)_solMicc parcial dic9_2010 - copia (1)_sol
Micc parcial dic9_2010 - copia (1)_sol
 
Micc mejoramiento-sept2011-solucion
Micc mejoramiento-sept2011-solucionMicc mejoramiento-sept2011-solucion
Micc mejoramiento-sept2011-solucion
 

Similar to ⭐⭐⭐⭐⭐ FUNDAMENTOS DEL DISEÑO DIGITAL, LECCIÓN B RESUELTA 1er PARCIAL (2019 1er Término)

Micc mej sept16_2010
Micc mej sept16_2010Micc mej sept16_2010
Micc mej sept16_2010
Jair BG
 
Micc mej feb18_2010
Micc mej feb18_2010Micc mej feb18_2010
Micc mej feb18_2010
Jair BG
 
Micc mej feb18_2010_solucion
Micc mej feb18_2010_solucionMicc mej feb18_2010_solucion
Micc mej feb18_2010_solucion
Jair BG
 

Similar to ⭐⭐⭐⭐⭐ FUNDAMENTOS DEL DISEÑO DIGITAL, LECCIÓN B RESUELTA 1er PARCIAL (2019 1er Término) (20)

⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 1er Parcial (2020 PAO 1) A
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 1er Parcial (2020 PAO 1) A⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 1er Parcial (2020 PAO 1) A
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 1er Parcial (2020 PAO 1) A
 
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 1er Parcial (2020 PAO 1) B
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 1er Parcial (2020 PAO 1) B⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 1er Parcial (2020 PAO 1) B
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 1, 1er Parcial (2020 PAO 1) B
 
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, Cap ...
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, Cap ...⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, Cap ...
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, Cap ...
 
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, LECCION RESUELTA 2do PARCIAL (2018 1er Término)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, LECCION RESUELTA 2do PARCIAL (2018 1er Término)⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, LECCION RESUELTA 2do PARCIAL (2018 1er Término)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, LECCION RESUELTA 2do PARCIAL (2018 1er Término)
 
2 especificas electronica
2 especificas electronica2 especificas electronica
2 especificas electronica
 
Sistema digitales trabajo academico
Sistema digitales trabajo academicoSistema digitales trabajo academico
Sistema digitales trabajo academico
 
Electrónica digital: Ruta de datos multiplexores y demultiplexores
Electrónica digital: Ruta de datos multiplexores y demultiplexores Electrónica digital: Ruta de datos multiplexores y demultiplexores
Electrónica digital: Ruta de datos multiplexores y demultiplexores
 
Ejercicios_TeoriaOCW_zuz.pdf
Ejercicios_TeoriaOCW_zuz.pdfEjercicios_TeoriaOCW_zuz.pdf
Ejercicios_TeoriaOCW_zuz.pdf
 
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, LECCION RESUELTA 2do PARCIAL (2017 2do Término)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, LECCION RESUELTA 2do PARCIAL (2017 2do Término)⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, LECCION RESUELTA 2do PARCIAL (2017 2do Término)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, LECCION RESUELTA 2do PARCIAL (2017 2do Término)
 
Práctica 1 (2)
Práctica 1 (2)Práctica 1 (2)
Práctica 1 (2)
 
Micc mej sept16_2010
Micc mej sept16_2010Micc mej sept16_2010
Micc mej sept16_2010
 
Micc mej feb18_2010
Micc mej feb18_2010Micc mej feb18_2010
Micc mej feb18_2010
 
Práctica 1 (irc)
Práctica 1 (irc)Práctica 1 (irc)
Práctica 1 (irc)
 
Micc mej feb18_2010_solucion
Micc mej feb18_2010_solucionMicc mej feb18_2010_solucion
Micc mej feb18_2010_solucion
 
Ejercicios introduccion a la programación en C
Ejercicios  introduccion a la programación en CEjercicios  introduccion a la programación en C
Ejercicios introduccion a la programación en C
 
Ejercicios C
Ejercicios CEjercicios C
Ejercicios C
 
Práctica 1
Práctica 1Práctica 1
Práctica 1
 
Tarea2 juan delgadillo
Tarea2  juan delgadilloTarea2  juan delgadillo
Tarea2 juan delgadillo
 
Reactivos sr
Reactivos srReactivos sr
Reactivos sr
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
 

More from Victor Asanza

⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
Victor Asanza
 
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
Victor Asanza
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
Victor Asanza
 

More from Victor Asanza (20)

⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...
⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...
⭐⭐⭐⭐⭐ Device Free Indoor Localization in the 28 GHz band based on machine lea...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
⭐⭐⭐⭐⭐ SOLUCIÓN EXAMEN SISTEMAS DIGITALES 2, 1er Parcial (2022PAO2)
 
⭐⭐⭐⭐⭐ CV Victor Asanza
⭐⭐⭐⭐⭐ CV Victor Asanza⭐⭐⭐⭐⭐ CV Victor Asanza
⭐⭐⭐⭐⭐ CV Victor Asanza
 
⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms
⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms
⭐⭐⭐⭐⭐ Trilateration-based Indoor Location using Supervised Learning Algorithms
 
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
⭐⭐⭐⭐⭐ Learning-based Energy Consumption Prediction
 
⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...
⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...
⭐⭐⭐⭐⭐ Raspberry Pi-based IoT for Shrimp Farms Real-time Remote Monitoring wit...
 
⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...
⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...
⭐⭐⭐⭐⭐Classification of Subjects with Parkinson's Disease using Finger Tapping...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2022 PAO1)
 
⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB
⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB ⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB
⭐⭐⭐⭐⭐ CHARLA #PUCESE Arduino Week: Hardware de Código Abierto TSC-LAB
 
⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...
⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...
⭐⭐⭐⭐⭐ #BCI System using a Novel Processing Technique Based on Electrodes Sele...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2...
 
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS DIGITALES 2, 2do Parcial (2021PAO2) C6
 
⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...
⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...
⭐⭐⭐⭐⭐ Performance Comparison of Database Server based on #SoC #FPGA and #ARM ...
 
⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...
⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...
⭐⭐⭐⭐⭐ Charla FIEC: #SSVEP_EEG Signal Classification based on #Emotiv EPOC #BC...
 
⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station
⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station
⭐⭐⭐⭐⭐ #FPGA Based Meteorological Monitoring Station
 
⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi
⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi
⭐⭐⭐⭐⭐ SSVEP-EEG Signal Classification based on Emotiv EPOC BCI and Raspberry Pi
 
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN FUNDAMENTOS DE ELECTRICIDAD Y SISTEMAS DIGITALES, 2do ...
 
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS DIGITALES 1, 1er Parcial (2021 PAO1)
 
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 2, PROYECTOS PROPUESTOS (2021 PAO1)
 
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, PROYECTOS PROPUESTOS (2021 PAE)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, PROYECTOS PROPUESTOS (2021 PAE)⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, PROYECTOS PROPUESTOS (2021 PAE)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, PROYECTOS PROPUESTOS (2021 PAE)
 

Recently uploaded

PROPUESTA COMERCIAL SENA ETAPA 2 ACTIVIDAD 3.pdf
PROPUESTA COMERCIAL SENA ETAPA 2 ACTIVIDAD 3.pdfPROPUESTA COMERCIAL SENA ETAPA 2 ACTIVIDAD 3.pdf
PROPUESTA COMERCIAL SENA ETAPA 2 ACTIVIDAD 3.pdf
EduardoJosVargasCama1
 
TEMA 14.DERIVACIONES ECONÓMICAS, SOCIALES Y POLÍTICAS DEL PROCESO DE INTEGRAC...
TEMA 14.DERIVACIONES ECONÓMICAS, SOCIALES Y POLÍTICAS DEL PROCESO DE INTEGRAC...TEMA 14.DERIVACIONES ECONÓMICAS, SOCIALES Y POLÍTICAS DEL PROCESO DE INTEGRAC...
TEMA 14.DERIVACIONES ECONÓMICAS, SOCIALES Y POLÍTICAS DEL PROCESO DE INTEGRAC...
jlorentemartos
 

Recently uploaded (20)

FICHA PROYECTO COIL- GLOBAL CLASSROOM.docx.pdf
FICHA PROYECTO COIL- GLOBAL CLASSROOM.docx.pdfFICHA PROYECTO COIL- GLOBAL CLASSROOM.docx.pdf
FICHA PROYECTO COIL- GLOBAL CLASSROOM.docx.pdf
 
Interpretación de cortes geológicos 2024
Interpretación de cortes geológicos 2024Interpretación de cortes geológicos 2024
Interpretación de cortes geológicos 2024
 
Louis Jean François Lagrenée. Erotismo y sensualidad. El erotismo en la Hist...
Louis Jean François Lagrenée.  Erotismo y sensualidad. El erotismo en la Hist...Louis Jean François Lagrenée.  Erotismo y sensualidad. El erotismo en la Hist...
Louis Jean François Lagrenée. Erotismo y sensualidad. El erotismo en la Hist...
 
PLAN LECTOR 2024 integrado nivel inicial-miercoles 10.pptx
PLAN LECTOR 2024  integrado nivel inicial-miercoles 10.pptxPLAN LECTOR 2024  integrado nivel inicial-miercoles 10.pptx
PLAN LECTOR 2024 integrado nivel inicial-miercoles 10.pptx
 
Factores que intervienen en la Administración por Valores.pdf
Factores que intervienen en la Administración por Valores.pdfFactores que intervienen en la Administración por Valores.pdf
Factores que intervienen en la Administración por Valores.pdf
 
Sesión de clase APC: Los dos testigos.pdf
Sesión de clase APC: Los dos testigos.pdfSesión de clase APC: Los dos testigos.pdf
Sesión de clase APC: Los dos testigos.pdf
 
LA LITERATURA DEL BARROCO 2023-2024pptx.pptx
LA LITERATURA DEL BARROCO 2023-2024pptx.pptxLA LITERATURA DEL BARROCO 2023-2024pptx.pptx
LA LITERATURA DEL BARROCO 2023-2024pptx.pptx
 
PROPUESTA COMERCIAL SENA ETAPA 2 ACTIVIDAD 3.pdf
PROPUESTA COMERCIAL SENA ETAPA 2 ACTIVIDAD 3.pdfPROPUESTA COMERCIAL SENA ETAPA 2 ACTIVIDAD 3.pdf
PROPUESTA COMERCIAL SENA ETAPA 2 ACTIVIDAD 3.pdf
 
Actividades para el 11 de Mayo día del himno.docx
Actividades para el 11 de Mayo día del himno.docxActividades para el 11 de Mayo día del himno.docx
Actividades para el 11 de Mayo día del himno.docx
 
TEMA 14.DERIVACIONES ECONÓMICAS, SOCIALES Y POLÍTICAS DEL PROCESO DE INTEGRAC...
TEMA 14.DERIVACIONES ECONÓMICAS, SOCIALES Y POLÍTICAS DEL PROCESO DE INTEGRAC...TEMA 14.DERIVACIONES ECONÓMICAS, SOCIALES Y POLÍTICAS DEL PROCESO DE INTEGRAC...
TEMA 14.DERIVACIONES ECONÓMICAS, SOCIALES Y POLÍTICAS DEL PROCESO DE INTEGRAC...
 
1ro Programación Anual D.P.C.C planificación anual del área para el desarroll...
1ro Programación Anual D.P.C.C planificación anual del área para el desarroll...1ro Programación Anual D.P.C.C planificación anual del área para el desarroll...
1ro Programación Anual D.P.C.C planificación anual del área para el desarroll...
 
Los dos testigos. Testifican de la Verdad
Los dos testigos. Testifican de la VerdadLos dos testigos. Testifican de la Verdad
Los dos testigos. Testifican de la Verdad
 
Usos y desusos de la inteligencia artificial en revistas científicas
Usos y desusos de la inteligencia artificial en revistas científicasUsos y desusos de la inteligencia artificial en revistas científicas
Usos y desusos de la inteligencia artificial en revistas científicas
 
prostitución en España: una mirada integral!
prostitución en España: una mirada integral!prostitución en España: una mirada integral!
prostitución en España: una mirada integral!
 
Código Civil de la República Bolivariana de Venezuela
Código Civil de la República Bolivariana de VenezuelaCódigo Civil de la República Bolivariana de Venezuela
Código Civil de la República Bolivariana de Venezuela
 
ACERTIJO LA RUTA DEL MARATÓN OLÍMPICO DEL NÚMERO PI EN PARÍS. Por JAVIER SOL...
ACERTIJO LA RUTA DEL MARATÓN OLÍMPICO DEL NÚMERO PI EN  PARÍS. Por JAVIER SOL...ACERTIJO LA RUTA DEL MARATÓN OLÍMPICO DEL NÚMERO PI EN  PARÍS. Por JAVIER SOL...
ACERTIJO LA RUTA DEL MARATÓN OLÍMPICO DEL NÚMERO PI EN PARÍS. Por JAVIER SOL...
 
Posición astronómica y geográfica de Europa.pptx
Posición astronómica y geográfica de Europa.pptxPosición astronómica y geográfica de Europa.pptx
Posición astronómica y geográfica de Europa.pptx
 
PLAN DE REFUERZO ESCOLAR MERC 2024-2.docx
PLAN DE REFUERZO ESCOLAR MERC 2024-2.docxPLAN DE REFUERZO ESCOLAR MERC 2024-2.docx
PLAN DE REFUERZO ESCOLAR MERC 2024-2.docx
 
Procedimientos para la planificación en los Centros Educativos tipo V ( multi...
Procedimientos para la planificación en los Centros Educativos tipo V ( multi...Procedimientos para la planificación en los Centros Educativos tipo V ( multi...
Procedimientos para la planificación en los Centros Educativos tipo V ( multi...
 
Power Point E. S.: Los dos testigos.pptx
Power Point E. S.: Los dos testigos.pptxPower Point E. S.: Los dos testigos.pptx
Power Point E. S.: Los dos testigos.pptx
 

⭐⭐⭐⭐⭐ FUNDAMENTOS DEL DISEÑO DIGITAL, LECCIÓN B RESUELTA 1er PARCIAL (2019 1er Término)

  • 1. vasanza 1 FUNDAMENTOS DEL DISEÑO DIGITAL LECCIÓN 1P (30/100) Fecha: 2019/06/26 I termino 2019-2020 Nombre: _________________________________________________ Paralelo: __________ Problema #1: (10%) Dado el siguiente código en VHDL, ¿cuál de las siguientes respuestas explica la relación entre señales de salida y entrada? a) 𝐿𝑎 𝑠𝑒ñ𝑎𝑙 𝑑𝑒 𝑠𝑎𝑙𝑖𝑑𝑎 𝑸 𝑡𝑖𝑒𝑛𝑒 𝑒𝑙 𝑣𝑎𝑙𝑜𝑟 𝑑𝑒 𝑨 + 𝑩 𝑠𝑖 𝑙𝑎 𝑠𝑒ñ𝑎𝑙 𝒔 𝑒𝑠 𝟏 𝑦 𝑑𝑒 𝑨 𝑠𝑖 𝒔 𝑒𝑠 𝟎. b) La señal de salida Q tiene el valor de A si la señal s es 0 y de B si s es 1. c) 𝐿𝑎 𝑠𝑒ñ𝑎𝑙 𝑑𝑒 𝑠𝑎𝑙𝑖𝑑𝑎 𝑸 𝑡𝑖𝑒𝑛𝑒 𝑒𝑙 𝑣𝑎𝑙𝑜𝑟 𝑑𝑒 𝑨 𝑠𝑖 𝑙𝑎 𝑠𝑒ñ𝑎𝑙 𝒔 𝑒𝑠 𝟎 𝑦 𝑑𝑒 𝑨𝒙𝒐𝒓𝑩 𝑠𝑖 𝒔 𝑒𝑠 𝟏. d) 𝐿𝑎 𝑠𝑒ñ𝑎𝑙 𝑑𝑒 𝑠𝑎𝑙𝑖𝑑𝑎 𝑸 𝑡𝑖𝑒𝑛𝑒 𝑒𝑙 𝑣𝑎𝑙𝑜𝑟 𝑑𝑒 𝑨 𝑠𝑖 𝑙𝑎 𝑠𝑒ñ𝑎𝑙 𝒔 𝑒𝑠 𝟏 𝑦 𝑑𝑒 𝑩 𝑠𝑖 𝒔 𝑒𝑠 𝟎. Problema #2: (10%) Cuál de las siguientes afirmaciones NO es correcta: a) Siempre se debe incluir las librerías: LIBRARY ieee; use ieee.std_logic_1164.all; b) El modo BUFFER se utiliza en señales que además de salir de la entidad, pueden usarse como señales realimentadas. c) Si quiero usar el tipo de dato Std_logic_Vector en operaciones aritméticas sin signo y en operaciones de Relación, debo incluir la librería: use ieee.std_logic_unsigned.all; d) En la declaración de entidades, se definen las señales de entrada y salida de un circuito, con el siguiente nivel de detalle: nombres, tamaño (de 0 a n bits), modo (entrada, salida, ...), comportamiento de las señales (asignación de señales, condicionales y selección) y tipo (integer, bit,...). e) Si quiero usar el tipo de dato Std_logic_Vector en operaciones aritméticas con signo y en operaciones de Relación, debo incluir la librería: use ieee.std_logic_signed.all;
  • 2. vasanza 2 Problema #3: (10%) Cuál de las siguientes afirmaciones NO es correcta: a) Asignación directa: Debo conocer la expresión booleana que define la señal de salida. Permite asignar a las salidas solo dos valores booleanos 1 o 0. b) Asignación Condicional: Es necesario conocer de forma descriptiva el comportamiento de las señales de salida. Permite asignar una expresiones booleanas y valores como ‘-’ y ‘z’. c) Asignación por selección: Necesitamos tener a la mano la tabla de verdad que define el comportamiento de las señales de salida. Permite asignar múltiples valores a las señales de salida: ‘1’, ‘0’, ‘-’ y ‘z’. d) Ninguna de las anteriores. Problema #4: (20%) Dado el siguiente código en VHDL, ¿cuál de los siguientes mapas de karnaugh NO describe la relación entre señales de salida y entrada? a) 𝑆𝐸𝐺75−3: 𝐵𝐶𝐷1 𝐵𝐶𝐷0 𝐵𝐶𝐷3 𝐵𝐶𝐷2 00 01 11 10 00 000 001 111 000 01 001 100 111 000 11 000 001 111 111 10 010 100 111 111 b) 𝑆𝐸𝐺76−4: 𝐵𝐶𝐷1 𝐵𝐶𝐷0 𝐵𝐶𝐷3 𝐵𝐶𝐷2 00 01 11 10 00 000 100 111 000 01 100 010 111 000 11 000 000 111 111 10 001 010 111 111 c) 𝑆𝐸𝐺73−1: 𝐵𝐶𝐷1 𝐵𝐶𝐷0 𝐵𝐶𝐷3 𝐵𝐶𝐷2 00 01 11 10 00 000 001 111 000 01 111 101 111 010 11 011 000 111 111 10 001 111 111 111 d) 𝑆𝐸𝐺74−2: 𝐵𝐶𝐷1 𝐵𝐶𝐷0 𝐵𝐶𝐷3 𝐵𝐶𝐷2 00 01 11 10 00 000 011 111 000 01 011 001 111 001 11 001 011 111 111 10 100 000 111 111
  • 3. vasanza 3 Problema #5: (20%) Dado el siguiente código en VHDL, ¿cuál de los siguientes mapas de karnaugh describe la relación entre señales de salida y entrada? a) Q: 𝐼0 𝐼1 𝑆1,𝑆0 00 01 11 10 00 0 0 𝐼2 𝐼3 01 1 1 𝐼2 𝐼3 11 0 0 𝐼2 𝐼3 10 1 1 𝐼2 𝐼3 b) Q: 𝐼0 𝐼1 𝑆1,𝑆0 00 01 11 10 00 0 0 𝐼3 𝐼2 01 0 1 𝐼3 𝐼2 11 1 1 𝐼3 𝐼2 10 1 0 𝐼3 𝐼2 c) Q: 𝐼0 𝐼1 𝑆1,𝑆0 00 01 11 10 00 0 0 𝐼3 𝐼2 01 1 0 𝐼3 𝐼2 11 0 1 𝐼3 𝐼2 10 1 1 𝐼3 𝐼2 d) Q: 𝐼0 𝐼1 𝑆1,𝑆0 00 01 11 10 00 0 0 𝐼3 𝐼2 01 1 1 𝐼3 𝐼2 11 0 0 𝐼3 𝐼2 10 1 1 𝐼3 𝐼2 Problema #6: (10%) Cuál de las siguientes afirmaciones referentes al package NO es correcta: a) Si utilizo package también debo enlistar en la arquitectura los componentes mapeados. b) Para enlistar los componentes en el package usamos la palabra reservada COMPONENT y al final END COMPONENT. En reemplazo al ENTITY y al END nombre_entity, respectivamente. c) El archivo nombre_package.vhdl deberá estar en la misma carpeta donde esté el archivo VHDL que lo utilice. d) Para utilizar el package debemos incluir en las librerías: use work.nombre_package.all;
  • 4. vasanza 4 Problema #7: (20%) Identificar cuál de los circuitos indicados en los literales, corresponde al código VHDL siguiente: Library ieee; Use ieee.std_logic_1164.all; Use ieee.std_logic_signed.all; Entity hsuk is Port(A,B,C: in std_logic; S: out std_logic); End husk; architecture yppirk of hsuk is -- declaración de señales signal X: bit_vector(1 to 8); -- declaración de componentes component NAND port (I1, I2: in bit; O: out bit); end component; begin --instanciación de componentes U1: NAND port map (A, A, X(1)); U2: NAND port map (B, B, X(2)); U3: NAND port map (B, A, X(3)); U4: NAND port map (X(1), X(2), X(4)); U5: NAND port map (X(3), X(3), X(5)); U6: NAND port map (X(4), X(4), X(6)); U7: NAND port map (X(5), C, X(7)); U8: NAND port map (C, X(6), X(8)); U9: NAND port map (X(8), X(7), S); end yppirk; a) b) c) d)