SlideShare a Scribd company logo
1 of 4
SEP

DGEST
INSTITUTO

TECNOLÓGICO

SNEST

DE

MATAMOROS

DEPARTAMENTO DE INGENIERÍA ELÉCTRICA Y ELECTRÓNICA

Diseño Digital con VHDL
Equipo:

Alumno(s):

Núm. de control:

Mario Arturo Cruz Colunga

11260077

Miguel Angel Fierros Peña

11260081

Hermenegildo Martínez de la Cruz

11260095

Jorge Alejandro Reyes Torres

11260108

H. MATAMOROS, TAM.

17 de septiembre del 2013
Practica 3
Objetivo:
Implementar un decodificador bcd a 7 segmentos utilizando vhdl
Marco teórico:
Un decodificador bcd-7 segmentos es un circuito que convierte un número BCD en
un código utilizado por los display de 7 segmentos para mostrar el
númerocorrespondientea la combinación de entrada.

Material:
Laptop
Kit spartan3e
Software aldec HDL, xilinx ISE, adept.

Procedimiento:
Se crea nuevo proyecto en aldec HDL
Se escribe el código VHDL en el nuevo proyecto
Código VHDL del decodificador BCD a 7 segmentos
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity bcd7seg is
port(
A: in STD_LOGIC_VECTOR(3 downto 0);
ANN: out bit;
G: out STD_LOGIC_VECTOR(6 downto 0)
);
end bcd7seg;

architecture bcd7seg of bcd7seg is
begin
process(A)
begin
ANN<='0';
case A is
when "0000" =>G<="1000000";
when "0001" =>G<="1111001";
when "0010" =>G<="0100100";
when "0011" =>G<="0110000";
when "0100" =>G<="0011001";
when "0101" =>G<="0010010";
when "0110" =>G<="0000010";
when "0111" =>G<="1111000";
when "1000" =>G<="0000000";
when "1001" =>G<="0010000";
whenothers =>G<="1111111";
end case;
endprocess;
end
bcd7seg;

Observaciones y conclusiones:
En el momento en que se implementó el código a el BASYS2 se pudo notar un efecto espejo al
poner los números por primera vez. Por lo que solamente las combinaciones se modificaron para
que los números aparecieran correctamente.
Reporte vhdl3

More Related Content

Viewers also liked

8287494393 PURI DIPLOMATIC GREENS RESALE 3 BHK 1780 SQFT
8287494393 PURI DIPLOMATIC GREENS RESALE 3 BHK 1780 SQFT8287494393 PURI DIPLOMATIC GREENS RESALE 3 BHK 1780 SQFT
8287494393 PURI DIPLOMATIC GREENS RESALE 3 BHK 1780 SQFT
puridiplomaticgreensresale
 
Fauna de las islas galápagos Dr. Emilio Uzcategui
Fauna de las islas galápagos Dr. Emilio UzcateguiFauna de las islas galápagos Dr. Emilio Uzcategui
Fauna de las islas galápagos Dr. Emilio Uzcategui
David
 
04 montageanleitung _carport_0v5
04 montageanleitung _carport_0v504 montageanleitung _carport_0v5
04 montageanleitung _carport_0v5
Brigitte Petzoldt
 
FOTOS IMPACTANTES DEL 2012
FOTOS IMPACTANTES DEL 2012FOTOS IMPACTANTES DEL 2012
FOTOS IMPACTANTES DEL 2012
Jorge Llosa
 
Administración
AdministraciónAdministración
Administración
jowell_29
 
Los tejidos
Los tejidosLos tejidos
Los tejidos
David
 
LOS BALCONES DE LIMA
LOS BALCONES DE LIMALOS BALCONES DE LIMA
LOS BALCONES DE LIMA
Jorge Llosa
 
TNS Walter Freese, Associate Director
TNS Walter Freese, Associate DirectorTNS Walter Freese, Associate Director
TNS Walter Freese, Associate Director
LOC Place
 
Clase3 Elcurrculo Oct24 091031134205 Phpapp02
Clase3 Elcurrculo Oct24 091031134205 Phpapp02Clase3 Elcurrculo Oct24 091031134205 Phpapp02
Clase3 Elcurrculo Oct24 091031134205 Phpapp02
garayfannycecilia
 

Viewers also liked (20)

8287494393 PURI DIPLOMATIC GREENS RESALE 3 BHK 1780 SQFT
8287494393 PURI DIPLOMATIC GREENS RESALE 3 BHK 1780 SQFT8287494393 PURI DIPLOMATIC GREENS RESALE 3 BHK 1780 SQFT
8287494393 PURI DIPLOMATIC GREENS RESALE 3 BHK 1780 SQFT
 
Fauna de las islas galápagos Dr. Emilio Uzcategui
Fauna de las islas galápagos Dr. Emilio UzcateguiFauna de las islas galápagos Dr. Emilio Uzcategui
Fauna de las islas galápagos Dr. Emilio Uzcategui
 
Les: lupus eritematoso
Les: lupus eritematosoLes: lupus eritematoso
Les: lupus eritematoso
 
Amor
AmorAmor
Amor
 
Wirlebenac final
Wirlebenac finalWirlebenac final
Wirlebenac final
 
virus informatica
virus informaticavirus informatica
virus informatica
 
Materiales textiles
Materiales textilesMateriales textiles
Materiales textiles
 
LINZ.VERÄNDERT, AUSTRIA GUIDES
LINZ.VERÄNDERT, AUSTRIA GUIDESLINZ.VERÄNDERT, AUSTRIA GUIDES
LINZ.VERÄNDERT, AUSTRIA GUIDES
 
04 montageanleitung _carport_0v5
04 montageanleitung _carport_0v504 montageanleitung _carport_0v5
04 montageanleitung _carport_0v5
 
YA SOY VIEJO
YA SOY VIEJOYA SOY VIEJO
YA SOY VIEJO
 
FOTOS IMPACTANTES DEL 2012
FOTOS IMPACTANTES DEL 2012FOTOS IMPACTANTES DEL 2012
FOTOS IMPACTANTES DEL 2012
 
Administración
AdministraciónAdministración
Administración
 
Los tejidos
Los tejidosLos tejidos
Los tejidos
 
Lucerne Minds 14/5 - Open EVERYTHING - Sharing is Caring
Lucerne Minds 14/5 - Open EVERYTHING - Sharing is CaringLucerne Minds 14/5 - Open EVERYTHING - Sharing is Caring
Lucerne Minds 14/5 - Open EVERYTHING - Sharing is Caring
 
LOS BALCONES DE LIMA
LOS BALCONES DE LIMALOS BALCONES DE LIMA
LOS BALCONES DE LIMA
 
Imuptd 120201 webinar
Imuptd 120201 webinarImuptd 120201 webinar
Imuptd 120201 webinar
 
TNS Walter Freese, Associate Director
TNS Walter Freese, Associate DirectorTNS Walter Freese, Associate Director
TNS Walter Freese, Associate Director
 
Noticiero
NoticieroNoticiero
Noticiero
 
Clase3 Elcurrculo Oct24 091031134205 Phpapp02
Clase3 Elcurrculo Oct24 091031134205 Phpapp02Clase3 Elcurrculo Oct24 091031134205 Phpapp02
Clase3 Elcurrculo Oct24 091031134205 Phpapp02
 
Brand Experience in Afrika - Vortrag auf IAK 2014
Brand Experience in Afrika - Vortrag auf IAK 2014Brand Experience in Afrika - Vortrag auf IAK 2014
Brand Experience in Afrika - Vortrag auf IAK 2014
 

Similar to Reporte vhdl3

Codificador bcd 7 segmentos ant jose
Codificador bcd 7 segmentos ant joseCodificador bcd 7 segmentos ant jose
Codificador bcd 7 segmentos ant jose
ronnyranv
 
Manual completo de CPLD
Manual completo de CPLDManual completo de CPLD
Manual completo de CPLD
Adan Aguirre
 
Informe practica ecuaciones # 6
Informe practica ecuaciones # 6Informe practica ecuaciones # 6
Informe practica ecuaciones # 6
Freddy Cuasapaz
 
Arduino: Reporte de display de 7 segmentos multiplexado
Arduino: Reporte de display de 7 segmentos multiplexado Arduino: Reporte de display de 7 segmentos multiplexado
Arduino: Reporte de display de 7 segmentos multiplexado
SANTIAGO PABLO ALBERTO
 
151953932 laboratorio-de-codificador-y-decodificador
151953932 laboratorio-de-codificador-y-decodificador151953932 laboratorio-de-codificador-y-decodificador
151953932 laboratorio-de-codificador-y-decodificador
Ever Omar Nolasco
 

Similar to Reporte vhdl3 (20)

Trabajo coloaborativo unad 2 fase2_
Trabajo coloaborativo unad 2 fase2_Trabajo coloaborativo unad 2 fase2_
Trabajo coloaborativo unad 2 fase2_
 
Codificador bcd 7 segmentos ant jose
Codificador bcd 7 segmentos ant joseCodificador bcd 7 segmentos ant jose
Codificador bcd 7 segmentos ant jose
 
Dimmer- Digital
Dimmer- Digital Dimmer- Digital
Dimmer- Digital
 
Dimmer digital con Arduino
Dimmer digital con ArduinoDimmer digital con Arduino
Dimmer digital con Arduino
 
Netduino
NetduinoNetduino
Netduino
 
Manual completo de CPLD
Manual completo de CPLDManual completo de CPLD
Manual completo de CPLD
 
Proyecto arduino medidor de temperatura
Proyecto arduino medidor de temperaturaProyecto arduino medidor de temperatura
Proyecto arduino medidor de temperatura
 
APLICACIONES DE LA TARJETA XILINX 2S200E
APLICACIONES DE LA TARJETA XILINX 2S200EAPLICACIONES DE LA TARJETA XILINX 2S200E
APLICACIONES DE LA TARJETA XILINX 2S200E
 
Informe de proyecto final de control discreto
Informe de proyecto final de control discretoInforme de proyecto final de control discreto
Informe de proyecto final de control discreto
 
Informe practica ecuaciones # 6
Informe practica ecuaciones # 6Informe practica ecuaciones # 6
Informe practica ecuaciones # 6
 
Arduino: Reporte de display de 7 segmentos multiplexado
Arduino: Reporte de display de 7 segmentos multiplexado Arduino: Reporte de display de 7 segmentos multiplexado
Arduino: Reporte de display de 7 segmentos multiplexado
 
Proyecto de arduino
Proyecto de arduinoProyecto de arduino
Proyecto de arduino
 
151953932 laboratorio-de-codificador-y-decodificador
151953932 laboratorio-de-codificador-y-decodificador151953932 laboratorio-de-codificador-y-decodificador
151953932 laboratorio-de-codificador-y-decodificador
 
Control de motor (eje flexible)
Control de motor (eje flexible)Control de motor (eje flexible)
Control de motor (eje flexible)
 
Control de motor (eje flexible)
Control de motor (eje flexible)Control de motor (eje flexible)
Control de motor (eje flexible)
 
3.4 matriz de le ds
3.4 matriz de le ds3.4 matriz de le ds
3.4 matriz de le ds
 
Practica 6 de Electrónica digital: Circuitos combinacionales
Practica 6 de Electrónica digital: Circuitos combinacionales Practica 6 de Electrónica digital: Circuitos combinacionales
Practica 6 de Electrónica digital: Circuitos combinacionales
 
Iot (3)
Iot (3)Iot (3)
Iot (3)
 
Electrónica digital: introducción al lenguaje de descripción hardware VHDL
Electrónica digital: introducción al lenguaje de descripción hardware VHDLElectrónica digital: introducción al lenguaje de descripción hardware VHDL
Electrónica digital: introducción al lenguaje de descripción hardware VHDL
 
CONTADOR BINARIO ASCENDENTE-DESCENDENTE DE 14 BITS CON ARDUINO
CONTADOR BINARIO ASCENDENTE-DESCENDENTE DE 14 BITS CON ARDUINOCONTADOR BINARIO ASCENDENTE-DESCENDENTE DE 14 BITS CON ARDUINO
CONTADOR BINARIO ASCENDENTE-DESCENDENTE DE 14 BITS CON ARDUINO
 

More from Miguel Angel Peña

controlar motor paso a paso por puerto serie
controlar motor paso a paso por puerto seriecontrolar motor paso a paso por puerto serie
controlar motor paso a paso por puerto serie
Miguel Angel Peña
 
Teorema de máxima transferencia de potencia practica
Teorema de máxima transferencia de potencia practicaTeorema de máxima transferencia de potencia practica
Teorema de máxima transferencia de potencia practica
Miguel Angel Peña
 
Obtencion de la curva i v del scr(practica)
Obtencion de la curva i v del scr(practica)Obtencion de la curva i v del scr(practica)
Obtencion de la curva i v del scr(practica)
Miguel Angel Peña
 
Grafica iv del diodo de silicio (practica)
Grafica iv del diodo de silicio (practica)Grafica iv del diodo de silicio (practica)
Grafica iv del diodo de silicio (practica)
Miguel Angel Peña
 
Determinación de parámetros del jfet(practica)
Determinación de parámetros del jfet(practica)Determinación de parámetros del jfet(practica)
Determinación de parámetros del jfet(practica)
Miguel Angel Peña
 
Aplicaciones del bjt (investigacion)
Aplicaciones del bjt (investigacion)Aplicaciones del bjt (investigacion)
Aplicaciones del bjt (investigacion)
Miguel Angel Peña
 

More from Miguel Angel Peña (20)

Juego naves reporte proyecto final(VHDL)
Juego naves reporte proyecto final(VHDL)Juego naves reporte proyecto final(VHDL)
Juego naves reporte proyecto final(VHDL)
 
Reporte vhd11
Reporte vhd11Reporte vhd11
Reporte vhd11
 
Reporte vhdl8
Reporte vhdl8Reporte vhdl8
Reporte vhdl8
 
Reporte vhdl7
Reporte vhdl7Reporte vhdl7
Reporte vhdl7
 
Reporte vhd10
Reporte vhd10Reporte vhd10
Reporte vhd10
 
Reporte vhdl9
Reporte vhdl9Reporte vhdl9
Reporte vhdl9
 
Reporte vhdl5
Reporte vhdl5Reporte vhdl5
Reporte vhdl5
 
Practica 2 vdhl
Practica 2 vdhlPractica 2 vdhl
Practica 2 vdhl
 
Numeros primos
Numeros primosNumeros primos
Numeros primos
 
Amplificador bjt emisor comun (voltaje negativo)
Amplificador bjt emisor comun (voltaje negativo)Amplificador bjt emisor comun (voltaje negativo)
Amplificador bjt emisor comun (voltaje negativo)
 
Diseño de amplificador emisor seguidor (colector comun) bjt y simulacion
Diseño de amplificador emisor seguidor (colector comun) bjt y simulacionDiseño de amplificador emisor seguidor (colector comun) bjt y simulacion
Diseño de amplificador emisor seguidor (colector comun) bjt y simulacion
 
TRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904 CALCULO DE PUNTO Q
TRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904  CALCULO DE PUNTO QTRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904  CALCULO DE PUNTO Q
TRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904 CALCULO DE PUNTO Q
 
Funciones programacion
Funciones programacionFunciones programacion
Funciones programacion
 
Unidad2 programas while , do while y for
Unidad2 programas while , do while  y forUnidad2 programas while , do while  y for
Unidad2 programas while , do while y for
 
controlar motor paso a paso por puerto serie
controlar motor paso a paso por puerto seriecontrolar motor paso a paso por puerto serie
controlar motor paso a paso por puerto serie
 
Teorema de máxima transferencia de potencia practica
Teorema de máxima transferencia de potencia practicaTeorema de máxima transferencia de potencia practica
Teorema de máxima transferencia de potencia practica
 
Obtencion de la curva i v del scr(practica)
Obtencion de la curva i v del scr(practica)Obtencion de la curva i v del scr(practica)
Obtencion de la curva i v del scr(practica)
 
Grafica iv del diodo de silicio (practica)
Grafica iv del diodo de silicio (practica)Grafica iv del diodo de silicio (practica)
Grafica iv del diodo de silicio (practica)
 
Determinación de parámetros del jfet(practica)
Determinación de parámetros del jfet(practica)Determinación de parámetros del jfet(practica)
Determinación de parámetros del jfet(practica)
 
Aplicaciones del bjt (investigacion)
Aplicaciones del bjt (investigacion)Aplicaciones del bjt (investigacion)
Aplicaciones del bjt (investigacion)
 

Recently uploaded

🦄💫4° SEM32 WORD PLANEACIÓN PROYECTOS DARUKEL 23-24.docx
🦄💫4° SEM32 WORD PLANEACIÓN PROYECTOS DARUKEL 23-24.docx🦄💫4° SEM32 WORD PLANEACIÓN PROYECTOS DARUKEL 23-24.docx
🦄💫4° SEM32 WORD PLANEACIÓN PROYECTOS DARUKEL 23-24.docx
EliaHernndez7
 
2 REGLAMENTO RM 0912-2024 DE MODALIDADES DE GRADUACIÓN_.pptx
2 REGLAMENTO RM 0912-2024 DE MODALIDADES DE GRADUACIÓN_.pptx2 REGLAMENTO RM 0912-2024 DE MODALIDADES DE GRADUACIÓN_.pptx
2 REGLAMENTO RM 0912-2024 DE MODALIDADES DE GRADUACIÓN_.pptx
RigoTito
 
NUEVAS DIAPOSITIVAS POSGRADO Gestion Publica.pdf
NUEVAS DIAPOSITIVAS POSGRADO Gestion Publica.pdfNUEVAS DIAPOSITIVAS POSGRADO Gestion Publica.pdf
NUEVAS DIAPOSITIVAS POSGRADO Gestion Publica.pdf
UPTAIDELTACHIRA
 
Proyecto de aprendizaje dia de la madre MINT.pdf
Proyecto de aprendizaje dia de la madre MINT.pdfProyecto de aprendizaje dia de la madre MINT.pdf
Proyecto de aprendizaje dia de la madre MINT.pdf
patriciaines1993
 
Criterios ESG: fundamentos, aplicaciones y beneficios
Criterios ESG: fundamentos, aplicaciones y beneficiosCriterios ESG: fundamentos, aplicaciones y beneficios
Criterios ESG: fundamentos, aplicaciones y beneficios
JonathanCovena1
 

Recently uploaded (20)

CALENDARIZACION DE MAYO / RESPONSABILIDAD
CALENDARIZACION DE MAYO / RESPONSABILIDADCALENDARIZACION DE MAYO / RESPONSABILIDAD
CALENDARIZACION DE MAYO / RESPONSABILIDAD
 
LA LITERATURA DEL BARROCO 2023-2024pptx.pptx
LA LITERATURA DEL BARROCO 2023-2024pptx.pptxLA LITERATURA DEL BARROCO 2023-2024pptx.pptx
LA LITERATURA DEL BARROCO 2023-2024pptx.pptx
 
Unidad 3 | Metodología de la Investigación
Unidad 3 | Metodología de la InvestigaciónUnidad 3 | Metodología de la Investigación
Unidad 3 | Metodología de la Investigación
 
Infografía EE con pie del 2023 (3)-1.pdf
Infografía EE con pie del 2023 (3)-1.pdfInfografía EE con pie del 2023 (3)-1.pdf
Infografía EE con pie del 2023 (3)-1.pdf
 
🦄💫4° SEM32 WORD PLANEACIÓN PROYECTOS DARUKEL 23-24.docx
🦄💫4° SEM32 WORD PLANEACIÓN PROYECTOS DARUKEL 23-24.docx🦄💫4° SEM32 WORD PLANEACIÓN PROYECTOS DARUKEL 23-24.docx
🦄💫4° SEM32 WORD PLANEACIÓN PROYECTOS DARUKEL 23-24.docx
 
OCTAVO SEGUNDO PERIODO. EMPRENDIEMIENTO VS
OCTAVO SEGUNDO PERIODO. EMPRENDIEMIENTO VSOCTAVO SEGUNDO PERIODO. EMPRENDIEMIENTO VS
OCTAVO SEGUNDO PERIODO. EMPRENDIEMIENTO VS
 
GUIA DE CIRCUNFERENCIA Y ELIPSE UNDÉCIMO 2024.pdf
GUIA DE CIRCUNFERENCIA Y ELIPSE UNDÉCIMO 2024.pdfGUIA DE CIRCUNFERENCIA Y ELIPSE UNDÉCIMO 2024.pdf
GUIA DE CIRCUNFERENCIA Y ELIPSE UNDÉCIMO 2024.pdf
 
Medición del Movimiento Online 2024.pptx
Medición del Movimiento Online 2024.pptxMedición del Movimiento Online 2024.pptx
Medición del Movimiento Online 2024.pptx
 
2 REGLAMENTO RM 0912-2024 DE MODALIDADES DE GRADUACIÓN_.pptx
2 REGLAMENTO RM 0912-2024 DE MODALIDADES DE GRADUACIÓN_.pptx2 REGLAMENTO RM 0912-2024 DE MODALIDADES DE GRADUACIÓN_.pptx
2 REGLAMENTO RM 0912-2024 DE MODALIDADES DE GRADUACIÓN_.pptx
 
BIOMETANO SÍ, PERO NO ASÍ. LA NUEVA BURBUJA ENERGÉTICA
BIOMETANO SÍ, PERO NO ASÍ. LA NUEVA BURBUJA ENERGÉTICABIOMETANO SÍ, PERO NO ASÍ. LA NUEVA BURBUJA ENERGÉTICA
BIOMETANO SÍ, PERO NO ASÍ. LA NUEVA BURBUJA ENERGÉTICA
 
SELECCIÓN DE LA MUESTRA Y MUESTREO EN INVESTIGACIÓN CUALITATIVA.pdf
SELECCIÓN DE LA MUESTRA Y MUESTREO EN INVESTIGACIÓN CUALITATIVA.pdfSELECCIÓN DE LA MUESTRA Y MUESTREO EN INVESTIGACIÓN CUALITATIVA.pdf
SELECCIÓN DE LA MUESTRA Y MUESTREO EN INVESTIGACIÓN CUALITATIVA.pdf
 
Fe contra todo pronóstico. La fe es confianza.
Fe contra todo pronóstico. La fe es confianza.Fe contra todo pronóstico. La fe es confianza.
Fe contra todo pronóstico. La fe es confianza.
 
Dinámica florecillas a María en el mes d
Dinámica florecillas a María en el mes dDinámica florecillas a María en el mes d
Dinámica florecillas a María en el mes d
 
origen y desarrollo del ensayo literario
origen y desarrollo del ensayo literarioorigen y desarrollo del ensayo literario
origen y desarrollo del ensayo literario
 
NUEVAS DIAPOSITIVAS POSGRADO Gestion Publica.pdf
NUEVAS DIAPOSITIVAS POSGRADO Gestion Publica.pdfNUEVAS DIAPOSITIVAS POSGRADO Gestion Publica.pdf
NUEVAS DIAPOSITIVAS POSGRADO Gestion Publica.pdf
 
Proyecto de aprendizaje dia de la madre MINT.pdf
Proyecto de aprendizaje dia de la madre MINT.pdfProyecto de aprendizaje dia de la madre MINT.pdf
Proyecto de aprendizaje dia de la madre MINT.pdf
 
Criterios ESG: fundamentos, aplicaciones y beneficios
Criterios ESG: fundamentos, aplicaciones y beneficiosCriterios ESG: fundamentos, aplicaciones y beneficios
Criterios ESG: fundamentos, aplicaciones y beneficios
 
Feliz Día de la Madre - 5 de Mayo, 2024.pdf
Feliz Día de la Madre - 5 de Mayo, 2024.pdfFeliz Día de la Madre - 5 de Mayo, 2024.pdf
Feliz Día de la Madre - 5 de Mayo, 2024.pdf
 
PIAR v 015. 2024 Plan Individual de ajustes razonables
PIAR v 015. 2024 Plan Individual de ajustes razonablesPIAR v 015. 2024 Plan Individual de ajustes razonables
PIAR v 015. 2024 Plan Individual de ajustes razonables
 
SESION DE PERSONAL SOCIAL. La convivencia en familia 22-04-24 -.doc
SESION DE PERSONAL SOCIAL.  La convivencia en familia 22-04-24  -.docSESION DE PERSONAL SOCIAL.  La convivencia en familia 22-04-24  -.doc
SESION DE PERSONAL SOCIAL. La convivencia en familia 22-04-24 -.doc
 

Reporte vhdl3

  • 1. SEP DGEST INSTITUTO TECNOLÓGICO SNEST DE MATAMOROS DEPARTAMENTO DE INGENIERÍA ELÉCTRICA Y ELECTRÓNICA Diseño Digital con VHDL Equipo: Alumno(s): Núm. de control: Mario Arturo Cruz Colunga 11260077 Miguel Angel Fierros Peña 11260081 Hermenegildo Martínez de la Cruz 11260095 Jorge Alejandro Reyes Torres 11260108 H. MATAMOROS, TAM. 17 de septiembre del 2013
  • 2. Practica 3 Objetivo: Implementar un decodificador bcd a 7 segmentos utilizando vhdl Marco teórico: Un decodificador bcd-7 segmentos es un circuito que convierte un número BCD en un código utilizado por los display de 7 segmentos para mostrar el númerocorrespondientea la combinación de entrada. Material: Laptop Kit spartan3e Software aldec HDL, xilinx ISE, adept. Procedimiento: Se crea nuevo proyecto en aldec HDL Se escribe el código VHDL en el nuevo proyecto
  • 3. Código VHDL del decodificador BCD a 7 segmentos library IEEE; use IEEE.STD_LOGIC_1164.all; entity bcd7seg is port( A: in STD_LOGIC_VECTOR(3 downto 0); ANN: out bit; G: out STD_LOGIC_VECTOR(6 downto 0) ); end bcd7seg; architecture bcd7seg of bcd7seg is begin process(A) begin ANN<='0'; case A is when "0000" =>G<="1000000"; when "0001" =>G<="1111001"; when "0010" =>G<="0100100"; when "0011" =>G<="0110000"; when "0100" =>G<="0011001"; when "0101" =>G<="0010010"; when "0110" =>G<="0000010"; when "0111" =>G<="1111000"; when "1000" =>G<="0000000"; when "1001" =>G<="0010000"; whenothers =>G<="1111111"; end case; endprocess; end bcd7seg; Observaciones y conclusiones: En el momento en que se implementó el código a el BASYS2 se pudo notar un efecto espejo al poner los números por primera vez. Por lo que solamente las combinaciones se modificaron para que los números aparecieran correctamente.