SlideShare a Scribd company logo
1 of 247
Download to read offline
The Semiconductor Industry
Steve Blank
sblank@kandranch.com
V7 092021
Gordian Knot Center for
National Security Innovation
Table of Contents
• Slides 3 -15 Semi Industry Overview
• Slides 16 - 20 Trusted Foundry Program
• Slides 21 - 37 Glossary and Sources
• Slides 38 - 50 China Semi Industry Overview
• Slides 51 - 76 China Foundries
• Slides 7 7- 86 China IDMs
• Slides 87 - 98 China Fabless
• Slides 99 - 114 Fab construction 101
• Slides 115 - 198 Wafer Fab Equipment China
• Slides 199 - 208 China Wafer Fab Equipment Needs
• Slides 209-214 China Semi Acquisitions
• Slides 215 - 226 Power Semiconductors
• Slides 227 – 236 RF semiconductors
• Slides 237-247 TSMC Fabs
Companies and Governments
That Use Chips in Systems
End Products
Semiconductor
Ecosystem
Chip Intellectual Property (IP) Cores
• The design of a chip may be owned by a single company, or…
• Some companies license their chip designs -as building blocks
– called IP Cores - for wide use
Chip IP Cores
Electronic Design Automation (EDA) Tools
Electronic Design
Automation Tools
• Engineers design chips using specialized EDA software
• The industry is dominated by three U.S. vendors
Chip Manufacturing Needs Specialized Materials
Materials and
Subsystems
• Silicon wafers, crystal growing furnaces
• Gases & fluids (Fluorine, Argon, Helium, Arsine, Phosphine, diboron...)
• Photomasks and resists, top coats, CMP slurries
• Wafer handling equipment, dicing
• RF Power equipment
Wafer Fab Equipment (WFE) Make the Chips
Wafer Fab
Equipment
• These are the machines that manufacture the chips
• Five companies dominate the industry - Applied, KLA, LAM, TEL, ASML
• ASML - a Dutch company - supplies the most advanced
lithography (EUV)
“Fabless” Chip Companies
Fabless chip
companies
• They create chip designs and send the designs to
“foundries” (aka “fabs”) that manufacture them
• They do not own Wafer Fab Equipment
• They do use Chip IP and Electronic Design Software
• They may use the chips
exclusively in their own devices
e.g. Apple, Nvidia….
• Or they may sell the chips to
everyone e.g. AMD, Qualcomm…
Integrated Device Manufacturers (IDMs)
Integrated Device
Manufacturers
(IDMs)
• IDMs design, manufacture, and sell chips
• Three categories – Memory (e.g. Micron), Logic (e.g. Intel), Analog (Analog Devices)
• They have their own “fabs” but may also use foundries
Chip Foundries • Foundries make chips for others
• They buy and integrate equipment
from a variety of manufacturers
• They design unique processes using
this equipment to make the chips
• But they don’t design chips
• TSMC in Taiwan is the leader in logic
Think of a Fab as Analogous to a Printing Plant
Foundries and IDMs have Fabs
Book Printing Chip Fabrication
An author writes a book
They use a word processor
An engineer designs a chip
They use EDA Tools
They contract with a publisher who
sends text to the printing plant
It may print novels, tech manuals, histories, etc.
They select a Fab appropriate for their
type of Chip
Memory, logic, RF, analog
The plant buys raw materials
Paper, ink
The fab buys raw materials
Silicon, chemicals, gases
The plant buys printing machinery
printing presses, binding, trimming
The fab buys wafer fab equipment
Etchers, deposition, lithography, testers, packaging
The printing process - offset
lithography
Filming, stripping, blueprints, plate making,
printing, binding, trim
Chip manufacturing process - offset
lithography
Etching, diffusion, lithography, assembly, testing,
packaging
The plant turns out millions of copies The plant turns out millions of copies
(Then think of its complexity as a continuous industrial processes like Oil & Gas, Chemical Reactors, uranium enrichment)
The Flow - From an Idea to Silicon
System
Specification
Architectural
Design
Functional &
Logic Design
Circuit
Design
Physical
Design
Physical
Verification
Layout Data
(GDSII, OASIS)
Mask Data
Prep
Design Engineers at Fabless or IDMs Using Electronic Design Automation Software
Package and
Test Chips
Fabricate
Chips
Mask in Litho
System
Create Mask
Blank
Write Pattern
on Blank
At
Photomask
Maker
Create Mask
Set
Create
Pellicle
In the Fab
Three Types of Chip
Factories (“Fabs”)
Chip
manufacturers
Foundries
IDMs
Air Liquide, Linde, Cabot, JSR, MKS,UCTT,
Ichor, Brooks, Jabil, Celestica, Dow Advanced Energy
Wafers: Shin-Etsu, Sumco, GlobalWafer, Siltronic, SK Siltron
They design the chips into products for
consumers, companies and military systems
Companies buy chips
from Foundries and IDMs
Air Liquide, Linde, Cabot, JSR, MKS,UCTT,
Ichor, Brooks, Jabil, Celestica, Dow Advanced Energy
Wafers: Shin-Etsu, Sumco, GlobalWafer, Siltronic, SK Siltron
Products are Sold to End
Customers – Military and Civilian
End Products
• iPhones
• AI/ML
• Datacenters
• Networking (5G)
• Weapons
• …
DoD Trusted Foundry program
DoD Trusted Foundry program
• DMEA is the program manager for the DoD Trusted Foundry program
• Provide an assured "Chain of Custody" for both classified and
unclassified ICs
• Ensure that there will not be any reasonable threats related to
disruption in supply
• Prevent intentional or unintentional modification or tampering of the ICs
• Protect the ICs from unauthorized attempts at reverse engineering,
exposure of functionality or evaluation of their possible vulnerabilities
The Trusted Access Program Office (TAPO)
• Facilitates and administers the contracts and agreements with
industry to provide US Government users with:
• Leading edge foundry services including multi-project wafer runs,
dedicated prototypes, and production in both high- and low-volume
models
• A library of standard IP blocks
• Limited packaging and test services
Trusted Foundries
• BAE Systems
• CREE
• Defense Microelectronics Agency
• Global Foundries
• HRL Labs
• M/A-Com
• MIT Lincoln Labs
• Northrup Grumman
• ON Semiconductor
• Quovro
• Raytheon
• Sandia
• Skywater Technology
• SRI
• TSI Semiconductors
As of May 2021
https://www.dmea.osd.mil/otherdocs/accreditedsuppliers.pdf
Trusted Technology Portfolio
Semi Industry
Extra Info
Steve Blank
sblank@kandranch.com
V3 071121
29 New Fabs Starting Construction in 2021-22
• 15 are foundries with capacities ranging from 30,000 to 220,000 200mm equivalent wafers
per month
• 4 are for memory chips with capacities of 100,000 to 400,000 200mm equivalent wafers per
month
Glossary -1
• APT (assembly, packaging, and test)
• CAPEX (capital equipment expense) dollars spent on new equipment and buildings
• CIS (CMOS image sensor)
• Die unpackaged, bare square of silicon containing an integrated circuit that has been cut
out of the wafer
• EDA (electronic design automation) software tools engineers use to design chips
• Fab is a factory that manufacturers chips
• Fabless companies create chip designs and send them to “foundries” that manufacture
them
• Foundries manufactures (fabs) chips for others
• IDM (integrated device manufacturer) designs, fabs, and sells their own chips (logic,
memory, power, etc.)
• Logic Devices – chips that do computing; microprocessors, microcontrollers, GPU’s,
ASICs,
Glossary - 2
• OSAT (outsourced semiconductor assembly & testing)
• Lithography stencils patterns of integrated circuits onto silicon wafers
• Memory Devices store information – DRAM and Flash memory
• MEMS (micro-electromechanical system) sensors and actuators
• Nanometer (nm) – one billionth of an inch
• Nodes (technology node, process node, process technology) a specific manufacturing
process and its design rules. Nodes 14nm, 10nm, 7nm, 5nm refer to a generation of chips
made in a particular technology. The smaller the node the more transistors can fit on a
wafer.
• Wafer the silicon substrate used to make chips
• Wafer Size: diameter of the silicon wafer used in manufacturing currently modern fabs
are ~8” 200mm or ~12” 300mm
• WPM (wafers per month) a measurement of the output of a fab
Glossary 3– Fab Processes
• Bunny Suit garment worn in a cleanroom to prevent skin and hair from
contaminating the chip process
• Chemical mechanical planarization (CMP) removes excess material on the wafer’s
front surface and flattens the wafer
• Cleanroom -specially constructed, enclosed spaces where chips are made. Classed
by the # of particles per cubic foot
• Diffusion (sometimes referred to as annealing) is a thermal treatment used to
move dopants, or impurities, and make dopants introduced by ion implantation
electrically active
• Doping intentional introduction of impurities to change its electrical, properties
• ion implantation is the most important doping method. Ions (positively or negatively charged
atoms) of dopant chemicals (boron, arsenic, etc.) are accelerated in an electrical field and
impacted into a wafer to penetrate its surface, changing the electrical characteristics of the
material
Glossary 4– Fab Processes
• Epitaxy (deposition) deposits a layer of material (conductor, insulator or
semiconductor) on a silicon wafer. The process can be repeated 40-100 times on a
single wafer. There are different types of deposition processes:
• Atomic Layer Deposition (ALD) layer-by-layer process that results in the deposition of thin
films one atomic layer at a time
• Chemical vapor deposition (CVD): deposits gaseous material onto the wafer in solid form (e.g.,
silicon dioxide, polysilicon) which react and/or decompose on the substrate surface through a
high-temperature (500-900 degrees Celsius) process
• Metal Organic Chemical Vapor Deposition (MOCVD) used in chip production of made from
materials other than silicon (Gallium Nitride and Gallium Arsenide), LEDs, laser diodes,
photonic chips, power/RF devices for radar, electronic warfare, communications and solar cells
• Plasma-enhanced CVD (PECVD): is a variation of CVD using ionizing gases but does not require
a high-temperature environment and is ideal for film deposition after the initial metal
deposition without disturbing the existing metal layer
• Oxidation: converts existing silicon into silicon dioxide (with the help of oxygen) in a furnace.
Silicon dioxide is an insulator
• Physical vapor deposition (PVD): Also called “sputtering”, uses physical/plasma bombardment
of source material that releases atoms for deposit on the wafer surface and usually relates to
metal deposition
Glossary 5– Fab Processes
• Etching A process for removing material
• Dry etching - under vacuum (dry) typically using a plasma to generate gas-
phase reactants.
• liquid-phase (wet) etchants *sulfuric acid, nitric acid, phosphoric acid, or
hydrofluoric acid)
• Low Pressure Chemical Vapor Deposition (LPCVD) is a thermal process
that deposits various films at low pressure
• NA numerical aperture - a number that characterizes the range of
angles over which the system can accept or emit light
• OSAT (outsourced semiconductor assembly & testing)
• Photomask contains the pattern of an integrated circuit
Logic Chips
These do Computing
• High-end CPUs – microprocessors, microcontrollers, e.g. Intel x86
• U.S.: Intel, AMD
• China: Loongson, Zhaoxin, Sunway, Phytium
• GPUs (Graphic Processing Units) – used for AI,/ML, Bitcoin, graphics
• U.S.: Nvidia , AMD
• China: Jingjia Micro
• FPGAs (Field Programable Gate Arrays) – reprogrammable processors
• U.S.: Xilinx, Intel, Lattice, Microchip, Achronix.Flex Logic
• China: Efinix, Gowin, Shenzhen Pango
• AI ASICs (Application Specific ICs) – run specific AI algorithms
• U.S.: Google, Facebook, Cerebras, Tesla (U.S.),
• China: HiSilicon. Cambricon, Horizon Robotics , Intellifusion , …
Memory Chips
These store information
• DRAM Memory chips – requires power to store data
• Samsung (South Korea), SK Hynix (South Korea), Micron (U.S.), Nanya
(Taiwan), Winbond (Taiwan), Powerchip (Taiwan), ChangXin (China)
• NAND Flash – retains data when power is off
• Samsung (South Korea), Toshiba (Japan), Western Digital (U.S.), Micron (U.S.),
Intel (U.S.), SK Hynix (South Korea), YMTC (China)
Who Are IDMs? (1)
• Logic chips – microprocessors, microcontrollers, e.g. Intel x86
• Intel (U.S.), Renesas (Japan), STMicroelectronics (Switzerland), Microchip
(U.S.), NXP (U.S./Netherlands), Toshiba (Japan), …
• Memory Chips – stores data
• SK Hynix (South Korea), Samsung (South Korea), Micron (U.S.), Toshiba
(Japan), Western Digital (U.S.), Intel (U.S.), YMTC (China), ChangXin (China),…
• Analog Chips
• Texas Instruments (U.S.), ON (U.S.), SiEn (China), ASMC (China), Bosch
(Germany), STMicroelectronics (Switzerland), TowerJazz (Japan), ….
| Applied Materials Confidential
19
Semiconductor Ecosystem
Wafer Fab Materials & Subsystems
Wafer Fab Equipment
Who Are IDMs?(2)
• Optoelectronics Chips
• Sony (Japan), Nichia (Japan), Samsung (South Korea), Osram (Germany),
HC SemiTek (China), Epistar (Taiwan), Sanan (China), Aucksun (China),
Changelight (China), …
• Sensors
• Qorvo (U.S.), Broadcom (U.S.), Seagate (U.S.), Texas Instruments (U.S.),
OmniVision (China), SMIC (China),
• Discrete Chips - Infineon (Germany), STMicroelectronics
• (Switzerland), Hangzhou (China), ON (U.S.), Mitsubishi (Japan), AOS (U.S.),
CR (China), Yangzhou (China),
| Applied Materials Confidential
19
Semiconductor Ecosystem
Wafer Fab Materials & Subsystems
Wafer Fab Equipment
IDM/Foundry Logic Node Roadmap
Global
Foundries
Only 2 Foundries Are At the Leading Edge Node
 
2003
17
90nm
2001
17
130nm
2005
14
65nm
2007
12
45nm 2009
9
32nm 2012
6
22nm
2015
4
14nm
2017
3
10nm
2020
2
7nm
Year in Production
# of Companies
Node
Does Node Size Matter?
• While SMIC and HSMC’s 14nm technology lags behind TSMC’s 5nm
capability, there is nothing computationally a 5nm chip can do that a
14nm chip cannot do
• The key difference, is that the 14nm chip is bigger, uses up more
silicon and may consume more power relative to its performance
• In cases where its size is not a major constraint, e.g. data centers,
autonomous vehicles, big data and AI in cloud computing, China has
what it already needs
• However, these foundries won’t be able to deliver high-end, high-
performance chips that will go into, the newest 5G-smartphones or
drone
Shrinking Nodes – Why Is It Important?
cost per die will fall after production yields reach pre-(size)-transition levels.
The figure below illustrates the effects of theoretical wafer linewidth shrinkage.
When linewidth halves from 0.5 microns to 0.25 microns, the wafer can
theoretically hold a quadrupled (22) number of dies. In terms of cost savings, a
quadrupled number of dies would lead to a 75% per-DRAM cost reduction in a
typical 300mm DRAM wafer, excluding additional mask and depreciation costs.
Figure 48: Illustration of wafer linewidth shrinkage
Source: Deutsche Bank
Smaller die sizes also improve production yields (i.e., the number of acceptable,
functional dies as a percentage of total dies on a wafer) as smaller dies
are less susceptible to contaminations during manufacturing (given a static
amount of contamination, the smaller the die size, the lower the probability that
contamination will affect a die). Companies typically reduce contamination and
improve yield through manufacturing environment control, employee training,
• Can put more dies (chips)
on the same size wafer =
lower cost
• Can put more transistors
on one chip = making
them more complex
Readings/Sources
Center For Security and Emerging Technology - read all the semi papers
Semiconductor Manufacturing Handbook - Hwaiyu Geng
Credit Suisse - read all the analyst reports on semiconductors
Goldman Sachs - read all the analyst reports on semiconductors
Financial Times - read all the China articles on semiconductors
Brookings Institute - read semi report
Congressional Research Service - Michaela Platzer, John Sargent
SEMI – Fab Construction Monitor Database
Semi Analysts – Sources
*** Saif M. Khan Director for Tech & National Security at National Security Council. ***
Credit Suisse China Semi Analysts: Randy Abrams, Chaolien Tseng , John W. Pitzer
Goldman Sachs China Semi Analysts: Allen Chang, Jin Guo, Lynn Luo, Verena Jeng
Center For Security and Emerging Technology - James Lewis, Alexander Mann , Dahlia Peterson ,
Carrick Flynn
Bernstein China Semi Analysts: Mark Li, Hanxu Wang, Edward Hou, Power/RF: Stacy A. Rasgon
KKR - Vance Serchuk
Brookings Institute Christopher A. Thomas
Financial Times China Semi Reporters: Cheng Ting-Fang and Lauly Li, Nikkei Staff Writers
Accenture China Semi Analysts: Syed Alam, Sam Baker
Congressional Research Service - Michaela Platzer - John Sargent
Nomura China Semi Analysts: Donnie Teng, Aaron Jeng
Jefferies China Semi Analyst: Mark Lipacis
China’s Chip Ecosystem
Semiconductors Are China’s Biggest Imports
2020 Trade Balance
More Than Oil
China Semi Status
• Success in LEDs, low-power processors, sensors, discrete
semiconductor devices, and in assembly, packaging, and test (APT)
• Behind in multi-core processors and memory devices, semiconductor
design tools and equipment, especially at the smaller, leading-edge
process nodes
• Lags somewhat in analog / mixed signal ICs and RF (radio frequency)
front-end components for cellphones such as power amplifiers and RF
filters
• Full self-sufficiency in all of these will require China to produce even
more advanced semiconductor equipment than what is required at
the 28 nm CMOS node
China National IC Fund Fuels Their Ecosystem
20 January 2021
Figure 47: China IC fund major semiconducto
Source: Company data, Credit Suisse estimates
In addition to the listed companies, the National IC
private companies in China. We believe these highe
strategic sectors including foundry (SMIC fab JVs,
Kingston’s Payton back-end subsidiary), equipmen
Priced 1/13/21 Company
Listed companies Ticker Description 03
NSIG 688126.SS Bare Wafer 5
AMEC 688012.SS Semi equipment
SMIC 0981.HK Foundry 7
JCET 600584.SS Back-end 3
Sanan 600703.SS LED/RF Foundry 4
Naura 002371.SZ Semi Equipment
Hua Hong 1347.HK Foundry 2
Gigadevice 603986.SS MCU/NOR
Tongfu 002156.SZ Back-end 2
CR Micro 688396.SS Analog/Foundry
Goodix 603160.SS Sensor & Touch IC
BDStar Navigation 002151.SZ GPS ICs/Modules 5
Verisilicon 688521.SS IP & Design Service
WLCSP 603005.SS Back-end
Sai Microelectronics 300456.SZ MEMs/GaN Process
Jingjia Micro 300474.SZ GPUs
Anji Micro 688019.SS CMP/Resist
Yoke Technology 002409.SZ Semi/Display Material
Rockchip 603893.SS Consumer/IoT APs
Ingenic 300223.SZ MCU, video, memory
Hangzhou Changchuan 300604.SZ IC Test
Goke Micro 300672.SZ STB, SSD, IoT SOCs 2
Wanye 600641.SS Inv. Fund / Ion Implant
Wuxi Taiji Industry 600667.SS Back-end & Chem fiber 1
Ninestar 002180.SZ Printer/NFC ICs 3
Wuxi Chipown 688508.SS Analog and LED ICs
Wingtech 600745.SS ODM/Nexperia Analog
NavInfo 002405.SZ Auto map, service, ICs 1
3peak Incorporated 688536.SH Analog ICs
Expressif 688018.SS Wifi/Bluetooth ICs
Beken 603068.SS BT/Wifi/Audio ICs
Chipsea 688595.SS MCU, BT/Wifi ICs
Allwinner 300458.SZ Consumer/IoT APs
MEMSensing 688286.SS MEMs devices
20 January 2021
Figure 47: China IC fund major semiconductor holdings o
Source: Company data, Credit Suisse estimates
In addition to the listed companies, the National IC fund is also b
private companies in China. We believe these higher profile com
strategic sectors including foundry (SMIC fab JVs, Huali), memo
Kingston’s Payton back-end subsidiary), equipment (ACM), mob
Priced 1/13/21 Company
Listed companies Ticker Description 03/31/20 06/30/20
NSIG 688126.SS Bare Wafer 567.0 567.0
AMEC 688012.SS Semi equipment 93.3 93.3
SMIC 0981.HK Foundry 797.1 797.1
JCET 600584.SS Back-end 304.5 304.5
Sanan 600703.SS LED/RF Foundry 460.9 460.9
Naura 002371.SZ Semi Equipment 49.2 49.2
Hua Hong 1347.HK Foundry 242.4 242.4
Gigadevice 603986.SS MCU/NOR 30.0 39.2
Tongfu 002156.SZ Back-end 250.6 239.2
CR Micro 688396.SS Analog/Foundry 34.2 78.1
Goodix 603160.SS Sensor & Touch IC 25.6 25.6
BDStar Navigation 002151.SZ GPS ICs/Modules 58.8 58.8
Verisilicon 688521.SS IP & Design Service 34.7 34.7
WLCSP 603005.SS Back-end 21.7 27.1
Sai Microelectronics 300456.SZ MEMs/GaN Process 88.4 88.4
Jingjia Micro 300474.SZ GPUs 27.5 27.5
Anji Micro 688019.SS CMP/Resist 6.1 6.1
Yoke Technology 002409.SZ Semi/Display Material 26.5 26.5
Rockchip 603893.SS Consumer/IoT APs 25.9 25.9
Ingenic 300223.SZ MCU, video, memory 0.0 16.8
Hangzhou Changchuan 300604.SZ IC Test 31.0 31.0
Goke Micro 300672.SZ STB, SSD, IoT SOCs 26.3 26.3
Wanye 600641.SS Inv. Fund / Ion Implant 56.4 56.4
Wuxi Taiji Industry 600667.SS Back-end & Chem fiber 130.0 130.0
Ninestar 002180.SZ Printer/NFC ICs 32.1 32.1
Wuxi Chipown 688508.SS Analog and LED ICs 7.5 7.5
Wingtech 600745.SS ODM/Nexperia Analog 4.0 3.1
NavInfo 002405.SZ Auto map, service, ICs 13.2 13.2
3peak Incorporated 688536.SH Analog ICs 0.3 0.3
Expressif 688018.SS Wifi/Bluetooth ICs 1.2 1.2
Beken 603068.SS BT/Wifi/Audio ICs 1.4 1.4
Chipsea 688595.SS MCU, BT/Wifi ICs 1.2 1.2
Allwinner 300458.SZ Consumer/IoT APs 2.0 2.0
MEMSensing 688286.SS MEMs devices 0.4 0.4
Holding (s
China IC Fund
Public Chip
Companies
• a.k.a. the Big Fund or the China IC
Fund has invested directly or
indirectly in more than 60 China
chip companies
• $20 billion in 2014
• $29 billion in 2019
Global Semiconductors Sector 23
Figure 47: China IC fund major semiconductor holdings of listed companies, holdings trimmed on companies in orange and blue
Source: Company data, Credit Suisse estimates
In addition to the listed companies, the National IC fund is also backing a number of high profile
private companies in China. We believe these higher profile companies span many of the
strategic sectors including foundry (SMIC fab JVs, Huali), memory (YMTC, CXMT, Puya, and
Kingston’s Payton back-end subsidiary), equipment (ACM), mobile (UniSOC), and IoT (ApexMic,
KT, Bestechnic) and display/imaging (Galaxycore).
Figure 48: China IC fund holdings of high profile China private companies
Source: Company data, Credit Suisse
Priced 1/13/21 Company IC Fund Total 2020E Price/
Listed companies Ticker Description 03/31/20 06/30/20 09/30/20 12/04/20 03/31/20 06/30/20 09/30/20 12/04/20 Holding ($) Market cap Sales Sales
NSIG 688126.SS Bare Wafer 567.0 567.0 567.0 567.0 30.5% 22.9% 22.9% 22.9% $2,799 $12,243 $302 40.5
AMEC 688012.SS Semi equipment 93.3 93.3 93.3 93.3 17.5% 17.5% 17.5% 17.5% $2,282 $13,079 $361 36.3
SMIC 0981.HK Foundry 797.1 797.1 797.1 797.1 15.5% 14.0% 10.4% 10.4% $2,081 $20,099 $4,214 4.8
JCET 600584.SS Back-end 304.5 304.5 304.5 288.5 19.0% 19.0% 19.0% 18.0% $2,073 $11,516 $3,873 3.0
Sanan 600703.SS LED/RF Foundry 460.9 460.9 416.1 379.4 11.3% 11.3% 9.3% 8.5% $2,058 $24,297 $1,312 18.5
Naura 002371.SZ Semi Equipment 49.2 49.2 44.3 44.3 9.9% 9.9% 8.9% 8.9% $1,531 $17,168 $853 20.1
Hua Hong 1347.HK Foundry 242.4 242.4 242.4 242.4 18.8% 18.7% 18.7% 18.7% $1,331 $7,114 $951 7.5
Gigadevice 603986.SS MCU/NOR 30.0 39.2 34.5 34.5 9.3% 8.3% 7.3% 7.3% $1,151 $15,719 $708 22.2
Tongfu 002156.SZ Back-end 250.6 239.2 227.7 227.7 21.7% 20.7% 19.7% 17.1% $998 $5,827 $1,596 3.7
CR Micro 688396.SS Analog/Foundry 34.2 78.1 78.1 78.1 2.9% 6.4% 6.4% 6.4% $832 $12,942 $1,046 12.4
Goodix 603160.SS Sensor & Touch IC 25.6 25.6 21.3 21.1 5.6% 5.6% 4.7% 4.6% $517 $11,216 $1,098 10.2
BDStar Navigation 002151.SZ GPS ICs/Modules 58.8 58.8 49.0 49.0 12.0% 12.0% 9.6% 9.6% $401 $4,161 $544 7.7
Verisilicon 688521.SS IP & Design Service 34.7 34.7 34.7 34.7 8.0% 8.0% 7.2% 7.2% $374 $5,218 $252 20.7
WLCSP 603005.SS Back-end 21.7 27.1 27.1 27.1 9.4% 8.4% 8.4% 8.4% $332 $3,931 $188 20.9
Sai Microelectronics 300456.SZ MEMs/GaN Process 88.4 88.4 88.4 88.4 13.8% 13.8% 13.8% 13.8% $314 $2,268 $123 18.4
Jingjia Micro 300474.SZ GPUs 27.5 27.5 27.5 27.5 9.1% 9.1% 9.1% 9.1% $302 $3,306 $120 27.5
Anji Micro 688019.SS CMP/Resist 6.1 6.1 6.1 6.1 11.6% 11.6% 11.6% 11.6% $269 $2,329 $61 38.0
Yoke Technology 002409.SZ Semi/Display Material 26.5 26.5 26.5 26.5 5.7% 5.7% 5.7% 5.7% $267 $4,666 $419 11.1
Rockchip 603893.SS Consumer/IoT APs 25.9 25.9 25.9 25.9 6.3% 6.3% 6.3% 6.3% $252 $4,003 $278 14.4
Ingenic 300223.SZ MCU, video, memory 0.0 16.8 16.8 16.8 0.0% 3.7% 3.6% 3.6% $212 $5,904 $73 80.6
Hangzhou Changchuan 300604.SZ IC Test 31.0 31.0 31.0 31.0 9.9% 9.9% 9.9% 9.9% $180 $1,823 $120 15.2
Goke Micro 300672.SZ STB, SSD, IoT SOCs 26.3 26.3 26.3 26.3 14.6% 14.6% 14.6% 14.6% $177 $1,212 $113 10.7
Wanye 600641.SS Inv. Fund / Ion Implant 56.4 56.4 67.7 67.7 7.0% 7.0% 7.1% 7.1% $167 $2,357 $182 12.9
Wuxi Taiji Industry 600667.SS Back-end & Chem fiber 130.0 130.0 108.9 108.9 6.2% 6.2% 5.2% 5.2% $160 $3,087 $2,588 1.2
Ninestar 002180.SZ Printer/NFC ICs 32.1 32.1 32.1 32.1 3.0% 3.0% 3.0% 3.0% $128 $4,248 $3,120 1.4
Wuxi Chipown 688508.SS Analog and LED ICs 7.5 7.5 7.5 7.5 8.9% 8.9% 6.7% 6.7% $93 $1,400 $64 21.8
Wingtech 600745.SS ODM/Nexperia Analog 4.0 3.1 unknown unknown 0.4% 0.3% unknown unknown $61 $22,255 $9,977 2.2
NavInfo 002405.SZ Auto map, service, ICs 13.2 13.2 11.0 11.0 0.7% 0.7% 0.6% 0.6% $27 $4,758 $372 12.8
3peak Incorporated 688536.SH Analog ICs 0.3 0.3 unknown unknown 0.4% 0.4% unknown unknown $21 $4,893 $93 52.5
Expressif 688018.SS Wifi/Bluetooth ICs 1.2 1.2 0.8 0.8 1.5% 1.5% 1.0% 1.0% $17 $1,685 $128 13.2
Beken 603068.SS BT/Wifi/Audio ICs 1.4 1.4 1.4 1.4 0.7% 0.7% 0.7% 0.7% $15 $1,968 $182 10.8
Chipsea 688595.SS MCU, BT/Wifi ICs 1.2 1.2 1.2 1.2 1.6% 1.6% 1.2% 1.2% $11 $885 $59 15.1
Allwinner 300458.SZ Consumer/IoT APs 2.0 2.0 unknown unknown 0.6% 0.6% unknown unknown $10 $1,704 $250 6.8
MEMSensing 688286.SS MEMs devices 0.4 0.4 0.4 0.4 1.1% 1.1% 0.8% 0.8% $9 $1,097 $55 20.0
8.9% $21,449 $240,378 $35,676 6.7
Holding % (ownership %)
Holding (shares, mn)
As of 12/04 Company
Non-listed companies Status Description 12/31/19 03/31/20 06/30/20 09/30/20 12/04/20
YMTC Private NAND Flash 49.0%
HLMC Private 12" Foundry 39.2%
Payton Memory (JV with Kaifa) Private Memory Back-end 31.1%
SMIC 2020 Beijing JV Private Foundry 24.5%
UniSOC Private, to IPO Mobile AP and RF ICs 15.3% 15.3% 19.4% 19.4% 19.4%
SMIC South JV Private Foundry 14.6% 14.6% 14.6%
Innotron Memory/CXMT Private DRAM 14.1%
SMIC Ningbo JV Private RF SOI/Analog design 13.5%
APEXMIC, subsidiary of Ninestar Private MCU and Printer ICs 7.9%
KT Micro Private, to IPO RF and audio SOCs 1.6% 1.5% 1.5% 1.5% 1.5%
Puya Semiconductor Private, to IPO NOR and EEPROM 0.3% 0.3% 0.3% 0.3% 0.3%
Galaxycore IPO approved Driver IC and CIS 0.3% 0.3% 0.3% 0.3% 0.3%
Bestechnic (Shanghai) IPO approved Bluetooth/Audio SOCs 0.2% 0.2% 0.2% 0.2% 0.2%
ACM Research (shanghai) IPO approved Semi Equipment 0.1% 0.1% 0.1% 0.1% 0.1%
Holding % (ownership %)
China’s IC fund has taken major stakes in
many of China’s leading IC companies
Provided for the exclusive use of Brett Miller at Applied Materials, Inc. on 20-Jan-2021 06:17 PM.
China IC Fund
Private Chip
Companies
Chip IP
Cores
Chinese Chip IP Cores
(Intellectual Property)
• Emerging IP Core market
• Mostly use international cores
Electronic Design
Automation Tools
Chinese EDA Tools
Electronic Design Automation
• Mostly use U.S. vendors; Synopsys, Cadence, Mentor
• Note: Synopsys, is partnered with the Nanjing Semiconductor University
• Hyperform is the Chinese incumbent
• A new generation of Chinese EDA startups
• X-Epic, Hejian Industrial Software, Xpeedic, Semitronix and Amedac
• Synopsys invested in Amedac
Chip IP
Hyperform
Substrates: Access, Fastprint, SCC
Wafers: NSIG, Tainjin Zhonghuan, Hangzhou Lion Grinm, Singui
Photoresists: Kempur, Ruihong Sputtering: KFMI
CMP Slurry: Anji Chemicals: Runma, Jingru, Huayi, Sinyang
Materials and
Subsystems
Electronic Design
Automation Tools
Specialized Materials for Semi Manufacturing
• China has indigenous sources of specialized materials
Hyperform
Air
Materials and
Subsystems
Electronic Design
Automation Tools
Chinese Wafer Fab Equipment (WFE) Suppliers
• China is dependent on foreign WFE for leading edge nodes
• Rapidly trying to build local competency
• Five-10 years behind but catching up is a national effort
Wafer Fab
Equipment
Piotech
Chinese “Fabless” Chip Companies
Electronic Design
Automation Tools
Fabless Chip
Companies
Zhaoxin
Sunway CPUs/
Data Center
AI/ML/Bitcoin
YTMC
Memory
• Fabless semis are a
vibrant, large and well
funded segment in China
• Gov’t is encouraging
them to set up their own
fabs
Chip IP Cores
Chinese Integrated Device Manufacturers (IDMs)
Materials and
Subsystems
Electronic Design
Automation Tools
Wafer Fab
Equipment
Fabless Chip
Companies
Memory and Logic -
Integrated Device
Manufacturers
(IDMs)
SiEn (QingDao)
YTMC
Chinese Chip
Foundries (“Fabs”)
Fabless Chip
Companies
Wafer Fab
Equipment
Memory/Logic -
Integrated Device
Manufacturers
Chip
Foundries
• Foundries are heavily reliant on fab equipment
from the U.S., Japan, and Netherlands
• China’s subsidizes it chip fabs by as much as 40
percent of revenues.
• Hired 1,000’s of engineers from Taiwan’s chip
industry
• Massive IP theft from Taiwan and US semi
industry
Piotech
Zhaoxin
Sunway
YTMC
Huali
SiEn (QingDao)
YTMC
China Self Sufficiency Matrix
SMIC and Hua Hong (which are China’s two big foundries) spent more combined on capex than they gen
in revenues. Government owns 33% of SMIC as example. The epicenter of this Chaebol is the govern
and usually Tsinghua is the visible investing entity. What we are witnessing is a virtual new china c
infrastructure pop up over night with a new local company at almost every single point in the supply cha
China Self Sufficiency Matrix
Chinese Companies on Commerce Dept Entity List
20 January 2021
Figure 65: Timeline of Chinese companies added to the US Commerce Department Entity List
Source: US Commerce Department, Credit Suisse
US now trying to re-build its own supply chain
The US is also now trying to correct years of under-investment in its own semiconductor
fabrication and assembly following the lessons from the pandemic from having over-reliance on
foreign suppliers for key medical gear. With the military rivalry with China growing and economic
Research
institutes,
universities,
and tech
companies:
CASC;
CASIC;
CAEP;
NUDT;
BUAA;
Etc.
5G and
communic
ation tech:
ZTE
(already
removed
from the
list)
5G and
communic
ation tech:
Huawei
Technolog
y and its
68
affiliates
Supercomputer:
Sugon and
affiliates;
Higon;
Wuxi Jiangnan
Institute of
Computing
Tech;
Chengdu
Haiguang IC;
Chengdu
Haiguang
Microelectronics
Added
another 46
affiliates of
Huawei
Technology
28 entities in
surveillance and
AI including:
Hikvision
Dahua
iFlytek
SenseTime
Megvii
Yitu
24 entities
alleged
‘military end-
use’ and 9
alleged human
rights abuses
in XUAR
Qihoo 360;
Cloudwalk;
FiberHome;
NetPosa;
Intellifusion;
HEU
11 entities
alleged
human
rights
abuses in
XUAR:
Nanchang
O-film
Tech;
BGI
Added another
38 affiliates of
Huawei
Technology
24 entities for
building military
islands in the
South China Sea:
China
Communication
Construction
Company;
China
Shipbuilding
Group;
CETC
Apr
2018
May
2019
Jun
2019
Aug
2019
Since
launch
Oct
2019
May
2020
Jul
2020
Aug
2020
Dec
2020
Added 77
entities to the
list including
SMIC and DJI
SMIC & 10
affiliates
AGCU
ScienTech, China
National Scientific
Instruments, DJI,
and Kuang-Chi -
Surveillance
China Comm.
Construction and
4 others for
maritime claims
25 academies
associated with
China State
Shipbuilding
Hangzhou Hualan Microelectronics
Co. Kyland Technology Co., Ltd.;
along with Kyland subsidiaries
Armyfly. Kindroid,Shanghai High-
Performance Integrated Circuit
Design Center. Sunway
Microelectronics,. National
Supercomputing Center Jinan,
National Supercomputing Center
Shenzhen, National
Supercomputing Center Wuxi,
National Supercomputing Center
Zhengzhou
Jul
2021
China’s Chip Foundries
Foundry
• A foundry manufactures (fabs) chips for others based on customer’s
designs
• A foundry does not design its own products
• A foundry focuses its resources on manufacturing process
technologies, and needs to migrate its technologies every few years
• Fabless companies are the main customers for foundries
• Some IDMs also outsource part of their products to foundries
• Key names in China: SMIC, Hua Hong
China Fabs
as of Feb 2021
Source: Semi
Foundries
Comparison of Chinese Foundaries
Jan 2021
China Fab Capacity
wafer demand from unique customers that have qualified product, all subject to having
effective and competitive capacity. SMIC has available government commitments for it to
have another 100k Beijing JV 28/40nm capacity, 70k Shanghai JV 14nm capacity as well
as additional 8” capacity in Tianjin. Our tracker of China capacity shows 540k WPM of
capacity built out by foundries in China, out of the 1.123 mn available capacity planned, a
level that would match TSMC’s capacity if all built out.
Figure 81: China has sizeable committed capacity ramping each year
Source: Company data, Credit Suisse estimates
Technology gap remains high: Technology and scale shows up as a sizeable gap both
on mature and leading nodes. SMIC has done quite well to fully load 8" and its mature 12”
capacity with specialty and second wave applications (fingerprint IC, smart cards, power
management, image sensors, NOR flash, RF transceivers) but is still only 20% of TSMC's
Company Location
Wafer
Size
Node 4Q17 4Q18 4Q19 4Q20
Max
Capacity
Status
SMIC Multiple Sites 8" 350-90nm 101.8 112.6 101.3 110.7 150.0 Mature
SMIC Beijing 12" 90nm-28nm 46.0 42.0 52.0 52.0 52.0 Fully built
SMIC Beijing 2A JV 12" 40nm 29.0 33.0 41.0 56.0 70.0 Ramping
SMIC Beijing New Phase 12" 28nm 0.0 0.0 0.0 0.0 100.0 Announced
SMIC Shanghai JV 12" 14nm 0.0 0.0 3.0 7.0 70.0 Ramping
SMIC capacity build-out in China: 176.8 187.6 197.3 225.7 442.0
ASMC/GTA Shanghai 5/6/8" 500-350nm 16.0 17.0 18.0 19.6 26.7 Mature
ASMC/GTA Shanghai 12" 65nm BCD 0.0 0.0 0.0 3.0 50.0 Planning
CanSemi Guangzhou 8" 180-90nm 0.0 0.0 16.0 20.0 24.0 Started 2019
CR Micro Qongqing 8" 350-180nm 0.0 0.0 0.0 0.0 30.0 Planning
Hua Hong Shanghai F1-F3 8" 350-90nm 74.7 77.3 79.1 80.4 90.0 Mature
Hua Hong Wuxi F7 12" 90-65nm 0.0 0.0 10.0 20.0 80.0 Planning
Huali Shanghai F5 12" 55-40nm 35.0 35.0 35.0 40.0 40.0 Fully built
Huali Shanghai F6 12" 28-14nm 0.0 0.0 0.0 10.0 40.0 Ramping
Powerchip Hefei 12" 90-65nm 0.0 6.0 15.0 25.0 40.0 Started 2018
SiEn Qingdao 8" 350-110nm 0.0 0.0 0.0 0.0 35.6 Planning
SiEn Qingdao 12" 90-28nm 0.0 0.0 0.0 0.0 40.0 Planning
TSMC Nanjing 12" 16nm 0.0 10.0 10.0 20.0 80.0 Started 2Q18
UMC Xiaman: F12X 12" 40-28nm 11.5 17.0 17.0 17.0 25.0 Ramping
XMC Wuhan 12" 90-45nm 30.0 40.0 50.0 60.0 80.0 Ramping
Other foundries capacity build out in China 167.2 202.3 250.1 315.0 681.2
Total capacity build out in China 343.9 389.9 447.4 540.7 1123.2
YoY capacity growth 13% 15% 21%
phases.
Foundries
Source: Company data, Credit Suisse estimates Jan 2021
Chinese Fab Expansion
The fabs are able to be funded despite low returns and along period to break even, prompting
risk of oversupply. We track plans on paper to grow leading China fabs from 700k to 1.8 mn 12”
WPM capacity, the equivalent of adding a TSMC (55% foundry share) to the industry’s capacity
if all is built out. Fortunately, most foundry projects are built in phases to meet coming demand
in the next year rather than speculatively, to sit idle without customers.
Figure 55: China has a number of domestic and foreign owned fabs in planning
Origin
Country
Company Fab Site
Wafer
size
Segment Node (nm)
Installed
(WPM)
Next
phase
Final
Capacity
China Can Semi Guangzhou 8" Foundry 180-90nm 20,000 4,000 24,000
China CR Micro Qongqing 8" IDM 0.35-0.18um 0 30,000 30,000
China CXMT Hefei 12" DRAM 19nm 20,000 30,000 125,000
China Fujian Jinhua Fujian 12" DRAM 25nm On Hold 0 60,000
China GTA Semi/ASMC Shanghai 8" Foundry 0.35-0.18um 10,000 60,000 60,000
China GTA Semi/ASMC Shanghai 12" Foundry 65nm BCD 3,000 47,000 50,000
China Hua Hong JV Wuxi 12" Foundry 90-55nm 20,000 20,000 80,000
China Huali Micro Pudong 12" Foundry 28-14nm 60,000 20,000 80,000
China SiEn Qingdao 8" Foundry 110nm+ 0 0 80,000
China SiEn Qingdao 12" Foundry 55/40nm 0 0 40,000
China SMIC JV Beijing 12" Foundry 40/28nm 56,000 14,000 170,000
China SMIC JV Shanghai 12" Foundry 14nm 7,000 8,000 35,000
China XMC Wuhan 12" Foundry 90-45nm 60,000 20,000 80,000
China YMTC Wuhan 12" 3D NAND 3D NAND 20,000 30,000 300,000
Upcoming fabs by domestic companies 236,000 219,000 1,035,000
US Alpha & Omega Chongqing 8" Discretes 130nm+ 25,000 0 25,000
US Alpha & Omega Chongqing 12" Discretes 130nm+ 10,000 10,000 40,000
Korea Hynix Wuxi C3 12" DRAM 1x nm 140,000 20,000 170,000
US Intel Dalian 12" NAND 3D NAND 85,000 15,000 100,000
Taiwan Powerchip Nexchip Hefei 12" Logic 90nm 25,000 15,000 40,000
Korea Samsung Xian 12" 3D NAND 3D NAND 150,000 110,000 260,000
Taiwan TSMC Nanjing 12" Foundry 16nm 20,000 20,000 80,000
anufacturing
ity through JV fabs
ributes up to half of
reciation burden
eration with the JV
me to assume a
nclude SMIC’s JV 12”
China has over 1mn wafers capacity planned,
similar to TSMC’s capacity base, with further
740k from overseas suppliers—though some,
not all, would get built out in phases.
Jan 2021
China – Aggressive Fab Development
estimates i
 
Aggressive fab expansion plans drive strong SPE demand
Accelerating fab capacity expansion
Chart 12 - China 300mm Wafer Capacity Estimates 2019-2026
.
173 244 309 387 487 597 717 822
30
41
55 70
75
85
105
130
220
290
375
455
530
605
680
715
170
200
230
270
320
390
470
550
593
775
969
1,182
1,412
1,677
1,972
2,217
0
500
1000
1500
2000
2500
2019 2020E 2021E 2022E 2023E 2024E 2025E 2026E
12 Mature 12 Advanced 3D Nand DRAM
(k wpm)
Source: Jefferies estimates
SPE capex
Chart 13 -
.
Source: SEM
 
Foundries: Strong demand for mature nodes driven by connect
Foundries
China Taiwan
TSMC
UMC
Vanguard
South Korea
Samsung
DB HiTech
Other
Global
Foundries
TowerJazz
Intel?
Many China fabless IDMs rely on either Huali or SMIC or both to produce chips
After the US restrictions on SMIC in September 2020, China’s fabless may rely on Huali even more
SMIC
Huali
Hua Hong
XMC
CanSemi
TSMC in China
• TSMC is also building out a 12” fab in Nanjing China in four phases.
• The first of four phases is capable of ramping up to 20k WPM at an initial cost
of US$3 bn
• TSMC will install new 28-nanometer production lines in Nanjing, which are
slated to begin mass-production in 2023
• The company also has a design service center to support local
Chinese IC design companies
• This will allow TSMC to stay competitive addressing local customers for its
16nm FinFET process as SMIC starts its Shanghai line for its 14nm in 4Q19
• TSMC also leverages its design service companies in Taiwan to bring in
China CPU and AI companies to fab on its advanced process
Foundry
SMIC
• Fabs:
• Beijing: a wholly-owned 12-inch fab (0.18μm-55nm), a majority-owned 12-inch fab (65nm-24nm);
• Shanghai: an 8-inch fab (0.35μm-90nm), a 12-inch fab (14nm and below), a majority-owned 12-inch
advanced-nodes fab (14nm and below);
• Tianjin: an 8-inch fab (0.35μm-90nm);
• Shenzhen: an 8-inch fab (0.35μm-0.15μm)
• SMIC is in a high investment phase, migrating its process to 14nm and 7nm
• started mass production of 28nm in 2015 and 14nm in 2019
• Hired Dr. Mong-Song Liang, formerly at TSMC and Samsung and Shang-Yi Chiang ex-TSMC
R&D head (joining) SMIC as Vice-Chairman, to improve foundry and R&D
• Chinese government owns 33% of SMIC
• US placed SMIC on its restricted military use list and also entity list for cooperating with the China
military
• The restrictions are only on a case-by-case basis on mature nodes and only presumption of denial
on tools uniquely used at 10nm and below
Foundry
https://www.smics.com/en/
SMIC and FinFETs
• At 20nm, traditional planar transistors run out of steam
• IoT and automotive applications do not require leading-edge nodes
• FinFETs are faster and use lower power than planar transistors
• they are also harder and more expensive to manufacture
• GlobalFoundries, Samsung, TSMC, Intel and UMC moved to finFETs at
16nm/14nm
• SMIC SMIC, Huawei, Imec and Qualcomm formed a joint R&D chip
technology venture in China with plans to develop a 14nm finFET
process
• SMIC in 2019 shipped China’s first 14nm finFETs
Huali Microelectronics
• Huali operates two 12-inch fabs in Shanghai
• first 12” fab at 40k WPM mostly logic and CIS on 40-55-90nm
• second 12” fab running about 10k-15k WPM shipments with capacity for 40k WPM, covering
28nm-14nm nodes for more advanced logic and development of FinFET transistors.
• Huahong Semi said before it has the right to merge Huali under the major
shareholder approval
• Linde has built a new air separation plant to supply nitrogen, high purity oxygen,
argon, hydrogen and helium to the 12-inch wafer line
Foundry
http://www.hlmc.cn
XMC
• Founded in 2006, an affiliate of Tsinghua Unigroup
• operates two 12-inch fabs in Wuhan with total capacity of 135k WPM
(8” equivalent)
• covering 90nm-45nm nodes,
• NOR flash, CIS (CMOS image sensor), RF (radio frequency) and logic
Foundry
https://www.xmcwh.com/en
Hua Hong
• Focused on mature technology on 8” and 12” wafers
• embedded flash (over 40% of sales) and in supplying Chinese customers (over
50% of sales)
• licensed Super Flash from SST, a Microchip subsidiary, and SONOS from Cypress to develop a
competency in eNVM (embedded Non- Volatile Memory) used in smart cards (SIM cards, bank ICs,
mobile payments, ID cards, social security cards)
• Specialty applications including microcontrollers, power management, RF,
smart cards, discretes and MEMS.
• 40k WPM of its 12” fab
• plans a further 40k fab for production ramp in 2022-23
• sister company Huali also has 40k WPM capacity on 28-55nm
• building a 2nd advanced 12” fab with a capacity for 40k for 28-14nm
Foundry
http://www.huahonggrace.com/html/index.php
Hua Hong Technology Road Map as of July 2020
from larger wafer size (a 12” wafer is 2.25X the size of an 8” wafer), while equipment
cost is only 1.7X that of the 8” wafer fab, and personnel cost is only 0.8X. Hua Hong
plans to migrate power discrete to 12” fab, with SGT MOSFET ready in 3Q20, Super
Junction and IGBT ready in 4Q20. Hua Hong plans to build 10k wafers/months capacity
for Power Discretes at its Wuxi 12” fab in 2H20, with another 10k capacity in 2021-22.
Capacity: Hua Hong has the largest power semi capacity scale compared with domestic
peers
Exhibit 121: Hua Hong technology roadmap - migrating power discrete to 12” fab from 2H20
90nm NORD LP
3Q
2Q
Power Discrete
Logic/MS/Analog
eNVM
Specialty
RF
PMIC
Floating
Gate
SONOS
MOSFET
DT-SJ I/II (600V-900V)
0.18 m~90nm
G/LP/ULL
0.13 m/95nm DG/TG(+HV)
0.18~0.11 m DG/TG
0.11 m CIS
0.18 m SiGe BiCMOS
0.18~90nm RF CMOS
IPD
0.2 m /0.13um
RF SOI
1 m~95nm5V~7V 0.35~0.18 m 40V~80V
90nm NORD LP/ULL
2020
1Q
IGBT
MEMS
Accelero/Pressure/Gyro
2021 2022
4Q 4Q
1Q 2Q 3Q 4Q
1Q 2Q 3Q
DT-SJ III (600V)
BCD700V LS G2
Plan to develop
Available Development 12-inch
55nm
55nm RF CMOS 55nm RF SOI
55nm NORD LP
55nm BCD 55nm BCD+eFlash
55nm SiGe BiCMOS
90nm BCD
SFM-IGBT
90nm BCD
DT-SJ IV
DT-SJ III
900V
SJ+IGBT
RC-IGBT
BCD600V HS G2
LV DT-
SJ
0.18 m BCD 60-100V
BCD600V HS G1
MOSFET/SGT
DT-SJ
IGBT
BCD700V LS G3
H+/He Implant
55nm ULP (MCU)
0.11 m BCD+eFlash
40V
0.18 m BCD 40V
0.18 m SiGe BiCMOS
Enhanced
IPD DTC
55nm Biotech Sensor
Silicon Photonics
MEMS
Source: Company data
2357b06457d061
Foundry
ASMC
formerly Shanghai Philips Semiconductor
• Operates three fabs of 5”, 6” and 8”,
• Total 8” equivalent capacities of 3k, 24k and 29k wafers per month
• Its wafer fabrication service only covers 0.5-0.35μm nodes and
power/MEMS products
Foundry
http://www.asmcs.com/en.asp
CanSemi
• founded in December 2017, headquartered in Guangzhou
• started mass production in September 2019
• capacity of 36k wafers per month (8-inch equivalent) for 0.18μm-90nm nodes.
• CanSemi Phase II is expected to launch in 2021, with capacity of 54k wafer per
month (8-inch equivalent) and focussing on 90-65nm nodes.
Foundry
http://www.cansemitech.com/?page_id=394&lang=en
Nexchip
Joint Venture with Powerchip and Hefei
• Nexchip founded in 2015
• Powerchip owns 41%, while Hefei Construction 59%
• Fab N1 expand to 45k WPM end-2021
• 150/110/90/55nm manufacturing service for DDIC (Display Driver IC)
used in smartphones
• building new 40nm N2 fab with 10k capacity 2021, 40k by 2024.
• deliver more diversified foundry service including DDI, MCU, power
• planning a new N3 fab for160k wafer capacity.
IDM/Foundry
https://en.nexchip.com.cn
AMEC
Advanced Micro-Fabrication Equipment China
• Founded in 2004 and headquartered in Shanghai
• develops and manufactures dielectric and TSV etch tools for and
MOCVD (Metal-Organic Chemical Vapor Deposition) tools for LED
makers
• customers include TSMC, SMIC, YMTC, Hynix, UMC, Winbond, and
Sanan
Semi Equip
AMEC Catching Up
Exhibit 9: AMEC is catching up with global technology migration, which is at the 5nm node
1995 1998 1999 2000 2002 2005 2006 2007 2008 2009 2010 2011 2012 2014 2015 2017 2018 2019 2020
Global 0.35um 0.25um 0.18um 0.13um 32nm 28nm 22nm 10nm 5nm
SMIC 55nm
NAURA equipment
AMEC equipment
90nm 16/14nm
100nm 90nm 65nm-40nm 28nm
45nm
90nm 65nm 40nm 28nm
14nm
14nm
65nm
65-16nm 45-7nm 7-5nm
7nm
Source: Company data
Goldman Sachs China Semiconductors
CR Micro
• Is an IDM (integrated device manufacturer), foundry and OSAT (outsourced
semiconductor assembly & testing) services
• 55% of foundry used for its IDM and 45% to the Contract Manufacturing Service
business (for external customers)
• Key foundry customers include China IC design companies:
• MEMSensing, GoerTek, AWINIC, NCE Power, Chipown, and Fuman Electronics
20 January 2021
Figure 92: CR Micro’s wafer fabs in operation
Source: Company data, Credit Suisse
The company offers wafer fabrication service covering 1.0-0.11µm nodes for CMOS
(Complementary Metal Oxide Semiconductor), DMOS (Double-diffused Metal Oxide
Semiconductor), BCD (Bipolar-CMOS-DMOS), mixed-signal, high-voltage CMOS, RF (radio
frequency) CMOS, bipolar, BiCMOS, NVM (Non-Volatile Memory), MOSFET, IGBT, MEMS,
GaN, SiC, etc. As of now, CR Micro allocates roughly 55% of foundry capacity for its IDM
(Integrated Device Manufacturing) business and 45% to the Contract Manufacturing Service
business (for external customers). Key foundry customers include China IC design companies,
like MEMSensing, GoerTek, AWINIC, NCE Power, Chipown, and Fuman Electronics.
We expect future capacity expansion from: (1) Wuxi 8-inch line to added capacity of 16k wafer
per month for BCD and MEMS. CR Micro raised Rmb1.5 bn for this project during IPO and
expects the project to kick off in 2Q 2021. (2) CR Micro is working with a few partners to build
a 12-inch production line in Chongqing for power semiconductor, with capacity of 30k wafers
per month and total capex of Rmb7.5 bn. It expects this new 12-inch line to ramp up production
from end-2021 or 2022. CR Micro will only have minority share of the new Chongqing 12-inch
fab, and the agreement between CR Micro and its partners entitles the former to operate the
12-inch fab and has priority to use the capacity for CR Micro’s chip products. CR Micro may
Location Line Process Total capacity
Wuxi 6" x 3 Analog, BCD, MEMES, DMOS, Power Discrete, etc. 2.48mn wafer per year or 207k wafer per month
Wuxi 8" Advance, BCD, Analog, DMOS, etc. 744k wafer per year or 62k wafer per month
Chongqing 8" Medium- & low-voltage trench gate MOS, shield gate MOS, super junction MOS, SBD, etc. 732k wafer per year or 61k wafer per month
IDM/Foundry
https://www.crmicro.com/Home/
Huawei Fab
• Huawei commissioned the Shanghai IC R&D Center (IRCD) to set up
and run a fab in Wuhan without American technology
• Goal is to produce 45nm chips by Q1 2022 advancing to 28 nm and 20nm by
late 2022.
• initially to produce optical communication chips to gain self-sufficiency
• HiSilcon is Huawei’s fabless design house and can provide designs for:
• smart TVs, IoT devices, 5G telecom, etc.
Tsinghua Unigroup
20 January 2021
Figure 105: Tsinghua Unigroup's group structure––YMTC is one out of many affiliates.
Source: Company data, Credit Suisse
Innotron (CXMT) 19nm DRAM slower progress
Ruili Jicheng’s (Innotron Memory) DRAM project is under CXMT (Changxin Memory
Technology), in which Innotron owns a 100% stake. Founded in May 2016 and headquartered
in Hefei, CXMT’s DRAM development leverages patents licensed from Qimonda. Qimonda is a
previous global leading DRAM tech provider, the inventor of Buried Word Line, and one of the
pioneers of advanced DRAM technology though it went bankrupt during the financial crisis and
also due to challenges scaling the trench capacitor relative to rivals stack capacitor. CXMT said
Tsinghua
Unigroup (TU)
Beijing UNIS
Communications and
Technology (Group)
Beijing UNIS
Spreadtrum Inv
Tibet UNIS New Micro Inv
100%
100%
63.6% 30%
TU directly
holds 0.1%
UNISOC
38.56%
Intel
12.99%
The Big Fund I & II
19.37%
100%
Tibet UNIS
Communication Inv
Unisplendour Corp.
(000938.SZ)
52.13%
Tsinghua Holdings Beijing Jiankun Inv Group
51% 49%
Tibet Linzhi
Qingchuang AMC
3.24% 100%
Tsinghua Unigroup
Asset Management
Tibet UNIS Chunhua
Inv
100%
32.71%
Guoxin Micro
(002049.SZ)
Tibet UNIS Daqi Inv
100%
100%
Beijing Zixin Weihua
Venture
51%
49%
Citic Trust
99.98%
Tibet Jiankun
VC
0.02%
Hubei Zixin Guoqi Tech Inv
51%
The Big Fund
I
49%
Hubei Zixin Tech
Inv
YMTC
Holdings
Hubei UNIS Guoqi Tech Inv
100%
The Big Fund I
24%
51%
YMTC
Hubei Tech Inv
13%
Hubei National Chip
12%
Wuhan Xinxin
Semiconductor
Manufacturing Co. (XMC)
100%
UniMOS (Shanghai)
51%
ChipMOS Technologies
(8150.TW)
45%
Xiamen
Unigroup Xue
Co. (000526.SZ)
2.18%
Tibet UNIS Zhuoyuan
Venture
100%
15.6%
5.15% Beijing UNIS
Communications and
Technology (Group)
H3P Group
51%
Hewlett Packard
Enterprise
49%
Tibet UNIS Changqing
Communication Inv
100%
Tianjin UNIS Haihe
Cloud Fund
46.7%
49.99%
UNIS Cloud
Technology
33.33%
UNIS Western Digital
Western
Digital
49%
47.11%
UNIS Software (Wuxi)
Group
3.89%
51%
Zhongqing Xintou Holdings
100%
49%
Zhongqing Xinxin AMC
50.1%
Shanghai Qingxin
Management
100%
Sino Xin Ding Limited
67.85%
Sino ICT Holdings
(0365.HK)
Beijing UNIS
Storage
Technology
100%
Beijing UNIS
Smart Automotive
Technology
Limited
100%
Xinjiang Gas
Group
56.53%
UNIS Financial
Information
Service Limited
95%
100%
CXMT commercialising 19nm DRAM, though
has lagged a bit relative to YMTC’s progress on
NAND flash
• Chinese semiconductor
conglomerate
• owns chipmakers such as
Yangtze Memory Technologies
Co. (YMTC) and chip designer
Unisoc (Shanghai) Technologies
• 51% owned by Tsinghua
University
• Tried to buy Micron in 2015
• Bankrupt in 2021 and
restructuring
Conglomerate
Wuhan Hongxin Semiconductor Manufacturing Company
(HSMC)
• Foundry HSMC, founded in 2017, which just like SMIC had plans to
start making 7nm chips, has been taken over by local authorities
following construction delays and funding shortages
• HSMC raised high expectations after hiring former top TSMC
executive Chiang Shang-yi as CEO and attracting 19 billion dollars in
funding and subsidies
• Chiang resigned in June 2020, describing the experience as a “nightmare” to
the South China Morning Post
• Beijing Guangliang Lantu Technology owned 90% of HSMC, with the
remaining stake held by the Dongxihu District Government
New Foundry and IDM Spending $156 Billion
IDM/Foundry
Technology, product comparison between China and global peers
We analyze the bridge between Chinese semis and their global peers in terms of (1)
technology, (2) SKUs and product portfolio, and (3) key financial data, such as size of
revenues, R&D spending, and ROE.
Exhibit 5: We break down SPE demand into specific equipment category by each technology generation (more details in the SPE section of
this report)
12 matured nodes 2019 2020E 2021E 2022E 2023E 2024E 2025E 2026E
Capacity addition (k wpm)
Total 32 63 84 84 114 89 93 101
Equipment demand (# units)
Furnaces 71 139 185 185 252 197 205 222
Etcher 80 158 210 210 286 224 233 252
Photoresist coater 23 45 59 59 80 63 66 71
Lithography 26 51 68 68 92 72 75 81
Photoresist remover 26 51 68 68 92 72 75 81
CVD 135 265 353 353 480 376 391 423
PVD 77 152 202 202 274 215 224 242
Ion implanter 42 82 110 110 149 117 121 131
CMP 39 76 101 101 137 108 112 121
Cleaning tool 55 108 143 143 195 152 159 171
Source: Goldman Sachs Global Investment Research, Gao Hua Securities Research
Exhibit 6: We look at capex budgets by each project and their capacity targets to arrive at their annual SPE demand
New 12-inch projects capex total US$160bn
Source: Company data, Goldman Sachs Global Investment Research, Gao Hua Securities Research
Goldman Sachs China Semiconductors
For
the
exclusive
use
of
BRETT_MILLER@AMAT.COM
1bb2357b06457d061
New 12” 300mm Fab Capex (Equipment and Buildings)
As of July 2020
Key drivers are foundry technology migration (e.g., SMIC) and the ramp-up of China’s memory capacity
(e.g., at YMTC, CXMT, and Unigroup).
In 3D NAND, YMTC has upgraded its 3D NAND products from 32-layer in 2018 to
64-layer in 2019 and 128-layer in 2020. The company announced in April (report link) that
it has successfully developed a QLC-based 128-layer 3D NAND flash (X2-6070) and
Exhibit 239: China’s new 12’’ fabs: Capex exceeding US$156bn
Company / project name Ticker Type Capex (USD) Products
Construction
start
Equipment
move in
SMIC 981.HK Foundry 10.5bn 14nm and above advanced nodes - Aug-2019
Hua Hong (Wuxi) 1347.HK Foundry 10bn (Phase 1: 2.5bn) 90nm, 65/55nm Mar-2018 May-2019
Huali (Fab 6) Private Foundry 5.5bn 28nm/14nm Dec-2016 May-2018
GTA Semiconductors Private Foundry 4bn (Phase 2) Power discretes, PMIC, CIS Aug-2018 -
Wuhan HSMC* Private Foundry 20bn 14nm/7nm logic Mar-2019 Nov-2019
Hefei Nexchip Private Foundry 1.8bn Touch/Display driver IC Oct-2015 Apr-2017
Silan Microelectronics (Xiamen) 600460.SS IDM 2.3bn (Phase 1: 1bn) MEMS, power discretes Oct-2018 2Q20
Jiangsu AMS Private IDM 1.8bn EEPROM Aug-2017 Mar-2018
CR Microelectronics 688396.SS IDM 1.4bn Power discretes, PMIC 2019 2021
Cansemi Private IDM 1bn MCU, PMIC, analog, power discretes Mar-2018 Mar-2019
Yangtze Memory (YMTC) Private Memory 24bn 3D NAND Flash Dec-2016 Apr-2018
Changxin Memory (CXMT) Private Memory 22bn DRAM Jul-2017 1Q18
Unigroup (Nanjing) Private Memory 30bn (Phase 1: 10bn) 3D NAND Flash/DRAM Sep-2018 -
Unigroup (Chengdu) Private Memory 24bn (Phase 1: 10bn) 3D NAND Flash Aug-2018 1Q21
Unigroup (Chongqing) Private Memory 10bn DRAM 4Q19 2021
Total: US$156bn+
HSMC*: Wuhan Hongxin Semiconductor Manufacturing Corp.
Source: Company data, Goldman Sachs Global Investment Research
Goldman Sachs China Semiconductors
In 3D NAND, YMTC has upgraded its 3D NAND products from 32-layer in 2018 to
64-layer in 2019 and 128-layer in 2020. The company announced in April (report link) that
it has successfully developed a QLC-based 128-layer 3D NAND flash (X2-6070) and
Exhibit 239: China’s new 12’’ fabs: Capex exceeding US$156bn
Company / project name Ticker Type Capex (USD) Products
Construction
start
Equipment
move in
SMIC 981.HK Foundry 10.5bn 14nm and above advanced nodes - Aug-2019
Hua Hong (Wuxi) 1347.HK Foundry 10bn (Phase 1: 2.5bn) 90nm, 65/55nm Mar-2018 May-2019
Huali (Fab 6) Private Foundry 5.5bn 28nm/14nm Dec-2016 May-2018
GTA Semiconductors Private Foundry 4bn (Phase 2) Power discretes, PMIC, CIS Aug-2018 -
Wuhan HSMC* Private Foundry 20bn 14nm/7nm logic Mar-2019 Nov-2019
Hefei Nexchip Private Foundry 1.8bn Touch/Display driver IC Oct-2015 Apr-2017
Silan Microelectronics (Xiamen) 600460.SS IDM 2.3bn (Phase 1: 1bn) MEMS, power discretes Oct-2018 2Q20
Jiangsu AMS Private IDM 1.8bn EEPROM Aug-2017 Mar-2018
CR Microelectronics 688396.SS IDM 1.4bn Power discretes, PMIC 2019 2021
Cansemi Private IDM 1bn MCU, PMIC, analog, power discretes Mar-2018 Mar-2019
Yangtze Memory (YMTC) Private Memory 24bn 3D NAND Flash Dec-2016 Apr-2018
Changxin Memory (CXMT) Private Memory 22bn DRAM Jul-2017 1Q18
Unigroup (Nanjing) Private Memory 30bn (Phase 1: 10bn) 3D NAND Flash/DRAM Sep-2018 -
Unigroup (Chengdu) Private Memory 24bn (Phase 1: 10bn) 3D NAND Flash Aug-2018 1Q21
Unigroup (Chongqing) Private Memory 10bn DRAM 4Q19 2021
Total: US$156bn+
HSMC*: Wuhan Hongxin Semiconductor Manufacturing Corp.
Source: Company data, Goldman Sachs Global Investment Research
Goldman Sachs China Semiconductors
ILLER@AMAT.COM
Semi Incentives by Country
foreign suppliers for key medical gear. With the military rivalry with China growing and economic
performance seeing ongoing sluggish growth in the manufacturing sector, US policy is starting
to shift toward providing more public support. Grants/subsidies and tax incentives have far
lagged other countries that have seen a rapid increase in their tech manufacturing base.
Figure 66: Semiconductor manufacturing incentives gap: US vs ROW
Source: Company data, Credit Suisse estimates
China’s IDMs
IDMs (integrated device manufacturers)
• semiconductor companies who design and manufacture chips with in-
house wafer processing, packaging, and testing capacity
• Some IDMs also outsource part of their products to foundries and
OSATs considering the resources and capex burdens.
• Key names in China: CR Micro, Wingtech (Nexperia), Silan, SiEn,
YMTC, Innotron
CR Micro
• Is an IDM (integrated device manufacturer), foundry and OSAT (outsourced
semiconductor assembly & testing) services
• 55% of foundry used for its IDM and 45% to the Contract Manufacturing Service
business (for external customers)
• Key foundry customers include China IC design companies:
• MEMSensing, GoerTek, AWINIC, NCE Power, Chipown, and Fuman Electronics
20 January 2021
Figure 92: CR Micro’s wafer fabs in operation
Source: Company data, Credit Suisse
The company offers wafer fabrication service covering 1.0-0.11µm nodes for CMOS
(Complementary Metal Oxide Semiconductor), DMOS (Double-diffused Metal Oxide
Semiconductor), BCD (Bipolar-CMOS-DMOS), mixed-signal, high-voltage CMOS, RF (radio
frequency) CMOS, bipolar, BiCMOS, NVM (Non-Volatile Memory), MOSFET, IGBT, MEMS,
GaN, SiC, etc. As of now, CR Micro allocates roughly 55% of foundry capacity for its IDM
(Integrated Device Manufacturing) business and 45% to the Contract Manufacturing Service
business (for external customers). Key foundry customers include China IC design companies,
like MEMSensing, GoerTek, AWINIC, NCE Power, Chipown, and Fuman Electronics.
We expect future capacity expansion from: (1) Wuxi 8-inch line to added capacity of 16k wafer
per month for BCD and MEMS. CR Micro raised Rmb1.5 bn for this project during IPO and
expects the project to kick off in 2Q 2021. (2) CR Micro is working with a few partners to build
a 12-inch production line in Chongqing for power semiconductor, with capacity of 30k wafers
per month and total capex of Rmb7.5 bn. It expects this new 12-inch line to ramp up production
from end-2021 or 2022. CR Micro will only have minority share of the new Chongqing 12-inch
fab, and the agreement between CR Micro and its partners entitles the former to operate the
12-inch fab and has priority to use the capacity for CR Micro’s chip products. CR Micro may
Location Line Process Total capacity
Wuxi 6" x 3 Analog, BCD, MEMES, DMOS, Power Discrete, etc. 2.48mn wafer per year or 207k wafer per month
Wuxi 8" Advance, BCD, Analog, DMOS, etc. 744k wafer per year or 62k wafer per month
Chongqing 8" Medium- & low-voltage trench gate MOS, shield gate MOS, super junction MOS, SBD, etc. 732k wafer per year or 61k wafer per month
IDM/Foundry
https://www.crmicro.com/Home/
CR Micro Subsidaries
Wingtech (Nexperia)
• Ex Philips semiconductor standard products division
• 25,000 customers and more than 15,000 types of products. More than 800 new
products are launched for every year
• largest power semiconductor Company in China
• 100 billion chips/year
• headquartered in Nijmegen, Netherlands, wafer manufacturing located in
Hamburg, Germany and Manchester, the UK,assembly factory in Dongguan,
China, Cabuyao, Philippines and Seremban, Malaysia
http://www.wingtech.com
IDM
Silan Microelectronics
• 6”, 8” and 12-inch lines
• power modules (IPM/PIM), power semiconductors, MEMS sensors,
digital audio and video, general ASIC circuits
• Manufacturing optoelectronic products and LED chips
• located in Hangzhou
IDM
China and Memory Chips
• China has a huge trade gap in DRAM and NAND flash memory in systems,
and storage
• China imports most of its memory
• Intel, Samsung and SK Hynix operate memory fabs in China, which produce chips for
both the domestic and international markets.
• China is developing its domestic memory industry
• YMTC enter the 3D NAND business and nd CXMT is ramping up China’s first home-
grown DRAMs.
• 3D NAND resembles a vertical skyscraper in which horizontal layers of
memory cells are stacked
• manufacturing challenges escalate as you add more layers.
• YMTC shipping 64- and 128 layer 3D NAND devices
• YMTC’s chips are being incorporated in USB cards and SSDs from Chinese companies
NAND and DRAM Fabs
• Yangtze Memory Technologies Co. (YMTC) / ChangXin Memory
Technologies (CXMT) both began mass production of NAND and
DRAM in 2019
• Other memory suppliers include:
• Ingenic/ISSI
• Giantec
• Fujian Jinhua
• Reliance Memory (joint venture of Rambus and Gigadevice
• Unigroup Guox
• Montage Technology (memory interface)
YMTC – Memory Lags by 1 or 2 Years
Exhibit 240: Chinese memory YMTC narrowing the gap
YMTC’s 64L lags behind by 2 years; currently migrating to 128L, lagging behind by 1 year
Companies
Samsung
SK Hynix
Micron
Intel
YMTC
2017 2018 2019 2020 2022
2021
192L
48L 64L 92L 128L 1xxL 2xxL
48L 72L 96L 128L
32L 64L 96L 128L
32L 64L
1xxL
96L 144L 1xxL or 2xxL
32L 64L 128L 1xxL or 2xxL
Source: Company data, Goldman Sachs Global Investment Research
Exhibit 241: Foundries’ technology roadmap: SMIC migrating to 14nm and Hua Hong migrating from 8’’ fab to 12’’ 65nm nodes
1Q14
2Q14
3Q14
4Q14
1Q15
2Q15
3Q15
4Q15
1Q16
2Q16
3Q16
4Q16
1Q17
2Q17
3Q17
4Q17
1Q18
2Q18
3Q18
4Q18
1Q19
2Q19
3Q19
4Q19
1Q20
2Q20
3Q20
4Q20
1Q21
2Q21
3Q21
4Q21
28nm
20nm
16nm
10nm
7nm
7nm+
6nm
5nm
3nm
28nm
14nm
10nm
7nm
5nm
TSMC
Samsung
Goldman Sachs China Semiconductors
China Power Semiconductor Companies
20 January 2021
CR Micro is one of China’s top five power semi suppliers besides Huawei Silicon, Silan, Silergy,
and Yangjie. Also, CR Micro is one of few China suppliers which have established a
comprehensive product portfolio. We believe CR Micro’s established technologies, especially in
MOSFET, should help it to grow with China’s ecosystem in the next few years as technological
moat in power semi is hard to break, and CR Micro is continuously reinforcing its technology
capabilities and that helps create its moat vs many emerging, smaller China suppliers.
Figure 161: China power semiconductor suppliers—CR Micro has the most comprehensive product portfolio
Source: Company data, Credit Suisse estimates
Overseas implications from China’s ramp in power ICs
The Auto and Industrial semiconductor market are two areas where key overseas
semiconductor companies like Infineon and STMicro have higher exposure. Auto
China industrial/auto growing, still outweighing
local China player inroads
• Power Semis switch high voltages
• Used in automotive, industrial and military applications
China’s Fabless Companies
CPU and AI Chips
Fabless (IC design)
• A fabless semiconductor company designs chips that can meet its
customers’ needs, and outsources the making of the chips to
foundries and OSATs.
• The foundries and OSATs do the wafer processing/packaging/testing
• The benefits of the fabless business model is that it can focus on the
design without the capex burden to build its own capacity.
• Key names in China: Goodix, GigaDevice, Maxscend, SG Micro, Will
Semi, StarPower, Montage
Chinese Logic Status
CPUs: dominated by Intel and AMD, and ARM solutions emerging
• Phytium ARM based PC CPU traction at SOEs as Chinse alternative to Intel-AMD based systems
• Zhaoxin licensed the x86 core as a JV with Via and the Shanghai Municipal Govt for Chinese processor
• Loongson is also targeting MIPs 64 bit CPUs for general purpose computing.
FPGAs: Xilinx and Altera/Intel have over 80% market share, followed by Microchip (acquired
Microsemi/Actel) and Lattice
• FPGA market has higher barriers due to the software platforms used to program the FPGAs and
increasing amount of embedded IP
• Chinese players including Gowin, Fudan Micro, Unigroup Guoxin and Huada are developing FPGAs
Analog/discretes: design houses focusing on high volume IT products and discretes.
• in computing, mobile, consumer/IoT, display and LEDs, and later on auto/industrial.
• SG Micro, Jilin Sino-Microelectronics, Silan, and Silergy and ZTE’s Microelectronics (SaneChips)
gaining traction
• In standard products, Wingtech’s purchase of Nexperia’s standard products group also gives it a high
position in discrete.
China Fabless CPU Companies
• China driving for self-sufficiency in processor chips
• Currently are built on ARM, Intel, RISC-V, and MIPS - non-Chinese architectures
• Huawei’s HiSilicon; driven by Huawei’s smartphones and telecom equipment, largest Chinese fabless co.
• Sunway is a Chinese architecture used by their military and supercomputers
They use Chinese foundries to produce these chips
20 January 2021
Figure 166: Other China CPU IC design companies
Source: Company data, cnBeta, Anandtech, HKEPC, Credit Suisse
China CPU fabless Phytium Zhaoxin HiSilicon Hygon Loongson Sunway
飞腾 兆芯 海思 海光 龙芯 申威
Shareholders
China Great
Wall,
CEC Group
Shanghai Zhaoxin
(VIA +
Shanghai
government)
Huawei
Sugon, AMD,
Hygon
Institute of Computing
Technology,
Chinese Academy of
Sciences
Wuxi Jiangnan
Institute of Computing
Technology
Architecture ARM v8 x86 ARM v8 x86 MIPS64 Sunway 64
PC CPU FT2000/4 KX-6000 Kunpeng 920s 3185 3A4000 SW1621
Tech spec
2.0GHz
Quad core
64 bit
2.0GHz
Quad core
64 bit
2.0GHz
Quad / Octa
64 bit
3.2GHz
8 cores
64 bit
2.0GHz
Quad core
64 bit
2.0GHz
16 core
64 bit
Manufacturing node 16nm 16nm 7nm 14nm 28nm 40nm
Server CPU S2500 KH-30000 Kunpeng 920 7185 3B4000 SW26010
Tech spec
2.2GHz
64 cores
64 bit
3.0GHz
Octa cores
64 bit
2.5GHz
64 cores
64 bit
2.0GHz
32 cores
64 bit
2.0GHz
Quad core
64 bit
1.45GHz
260 cores
64 bit
Manufacturing node 16nm 16nm 7nm 14nm 28nm 28nm
IC design partner Alchip, EE2 VIA NA AMD NA NA
Ecosystem support Strong Strong Strong Strong Limited Limited
Security High High High High High High
China technology
control
Medium-High Low Medium-High Low High High
Nuclei
System
Technology
RISC-V
Starfive
RISC-V
CPU Fabless Ecosystem
20 January 2021
Figure 167: China CPU fabless ecosystem partners—all are working closely with Chinese companies across industries to promote
their CPU solutions
Source: Company data, Sina, cnBeta, DSCOM, Sohu, Credit Suisse
Montage: Localised solution for Intel server CPU. Montage has been working with
Tsinghua University and Intel to develop Jintide®
server platform since 2016, consisting of
Jintide®
server CPU and Montage’s memory solution. Jintide®
server CPU is based on Intel’s
latest generation Xeon server CPU die (x86 architecture), with Montage’s security chips
integrated to achieve functions of PrC (Pre-Check) and DSC (Dynamic Security Check,
developed by Tsinghua University).
China CPU fabless Phytium Zhaoxin HiSilicon Hygon Loongson Sunway
飞腾 兆芯 海思 海光 龙芯 申威
Server
Lenovo, Inspur, Unishy, Chaoyue,
Sugon, Tsinghua Tongfang,
Changhong, Power Leader,
Nginetech, Cloud Kirin, Wanfang
Electronics, Bitland, Weibu, LDX,
Great Wall, etc.
Lenovo, Tsinghua Tongfang,
Donghai Computer, Mastor,
Inspur, Power Leader, Ruijie,
etc.
Huawei, Changhong, 100 Trust,
EASTCOM, Unishy, Xiangjiang
Kunpeng, Tsinghua Tongfang,
Power Leader, Huanghe, Hai Xia
Xing Yun, Digital China, etc.
Sugon, Jinpin, etc.
100 Trust, Lenovo, Chaoyue,
Gooxi, Teamsun Info, Inspur,
Sugon, Tsinghua Tongfang,
Super Red, Wuzhou, Zishan
Longlin, Founder, Power
Leader, etc.
Wuzhou, CETC,
CyanCloud, Power
Leader, TTY, CAHD,
Cloud Kirin, etc.
Desktop/Notebook
Great Wall, Lenovo, Inspur,
Chaoyue, Bitland, Haier, Unishy,
Tsinghua Tongfang, Sugon,
Hisense, AOC, IPASON, Embed
Way, Sakway, LDX, etc.
Lenovo, Tsinghua Tongfang,
Donghai Computer, Vention,
IPASON, HP, IP30-
Technology, Biens, etc.
Huawei, 100 Trust, Tsinghua
Tongfang, Power Leader,
Tsinghua Tongfang, Changhong,
Centrium, Huanghe, Xiangjiang
Kunpeng, Digital China, etc.
Tsinghua Tongfang, 100 Trust,
Power Leader, Chaoyue,
Founder, GEIT, Haier, Inspur,
Lenovo, HIK VISION, Ningmei,
IPASON, RUIJIE, 3nod,
Centerm, Sugon, Wuzhou,
Taiji, etc.
CyanCloud, External
Asia, etc.
AI/security/industri
al devices
Caffee, Intellifusion, Baidu,
Cambricon, Tensor Flow, Bitmain,
Venustech, Westone, TOPSEC,
NSFOCUS, Sangfor, HBC,
NEUSOFT, Securityunion, Victory-
idea, TIPTOP, Dahua, HIK
VISION, etc.
Syan, DAS-Security, HICO,
eFound, Learsun, Centerm,
SIXUNITED, Westone,
Hillstone, EISOO, WUZHOU,
CVTE, etc.
Huawei Fusion Storage, Huawei
GaussDB, Huawei CloudLink,
DSCOM, Starwarp, etc.
Maipu, Westone, Bdcom,
Unishy, ZEEGO, AERODEV
Network, EmbedWay,
Shenzhou Huian, Sansec,
Sugon, etc.
Operating system
Kylin, CentOS, Ubuntu, Debian,
Fedora, ReWorks, JARI,
VxWorks, SylixOS, UOS, etc.
Ubuntu, iSoft, NeoKylin, NFS
China, Windows, CentOS,
UOS, etc.
CentOS, Ubuntu, NeoKylin,
Deepin, iSoft, Asianux, BC
Linux, Openeuler, UOS, etc.
Windows, NeoKylin,
UOS, CentOS,
Ubuntu, Deepin, etc.
Loongnix, NeoKylin, Deepin,
iSoft, Kylinsec, UOS, etc.
UOS, iSoft, NeoKylin,
Red Flag, Deepin,
etc.
Software/cloud
service/end user
Foxit, Kingsoft, Thunisoft, Sougou,
Meitu, Tencent, Kingdee, Firefox,
Scutech, Haitai, Pushtiem, HKB,
Weaver, Aliyun, ZTE, UCLOUD,
EASTED, KVM, etc.
Windows Office, WPS,
OpenGL, Direct3D, Foxit,
Lanxum, Haitai, 360, DHC,
Neusoft, Tiduyun, YOZO
Soft, Windows softwares, Big
Data Center of Shanghai
Government, People's Bank
of China, Bank of Shanghai,
China Pacific Insurance,
Shanghai Metro, etc.
Sangfor, Sandstone, Tong Tech,
Asian Info, Chinac.com, Easy
Stack, Tech Education, Beiming
Software, Mixlinker, Chanjet,
Kingdee, Super Map, SIPM,
Paratera, Information2, Joyware,
China Telecom, DCITS,
ThuniSoft, Audaque, NetEase,
Haitong Securities, NC Cloud,
etc.
China Telecom,
Windows softwares,
etc.
WPS, YOZOSOFT, Foxit,
Suwell, Smplayer, Meitu, 360
Security Browser, Tencent,
Alibaba, Kingsoft, Inspur, etc.
Red Flag, Standard
Software, Dameng,
GBASE, Kingbase,
etc.
Phytium CPU Roadmap
Longsoon CPU Roadmap
Zhaoxin CPU Roadmap
Sunway CPU Roadmap
Huawei Chip Roadmap
China AI Chip Companies
20 January 2021
Figure 197: A number of start-ups in China and globally targeting AI chip development, TSMC leading in fabrication
Source: Company data, Credit Suisse estimates
Competitive landscape for Design Services
The design service capability and capacity, IP portfolio, success rate, supply chain relationship,
target applications and technology/IP support are the important factors when customers choose
the service provider. We compare the competiveness for the major companies including Global
Unichip, Alchip and Faraday in Taiwan in China as below.
Start-ups Key focus area Country Foundry Most advanced node Tech investors
AIMotive Semiconductor chipset and software for automated driving Hungary GlobalFoundries 22nm FD-SOI Cisco, Samsung
Blaize Vision processing chips US TSMC 28nm HPC Denso, Samsung
BrainChip Neuromorphic SoC (Akida) that can be function as an SoC or integrated into ASIC Australia TSMC 28nm Listed
Cambricon Device and cloud processors for AI China TSMC 7nm Alibaba, TCL
Cerebras Systems Specialized chip for deep-learning applications US TSMC 16nm NA
Deep Vision Low-power silicon architecture for computer vision US TSMC 28nm HPC NA
DeepcreatIC Heterogeneous neuromorphic chips China SMIC 40nm NA
Deephi Compressed CNN networks and processors China TSMC 7nm Xilinx
DinoPlus High-performance and ultra-low latency AI chipsets for 5G/edge computing US NM NM NA
Enflame Cloud-based deep learning chips for AI training platforms China GlobalFoundries 12nm LP Tencent, SummiView
Esperanto Massive array of RISC-V cores US TSMC 7nm Western Digital
GrAI Matter Labs AI chipsets designed for ultra-low latency and low power processing at the edge France TSMC 28nm NA
Graphcore Graph-oriented processors for deep learning UK TSMC 16nm Dell
Groq Google spinout working on deep learning chip US NM 14nm NA
Habana Labs Programmable deep learning accelerators for data center training and inference Israel TSMC 7nm Intel
Hailo Specialized deep learning microprocessor Israel NM NM NEC
Horizon Robotics Chipsets and solutions for smart Home, automotive and public safety China TSMC 16nm SK Hynix
IntelliGo Hardware and software for image and speech processing China NM NM Mediatek
Intengine Tech AI chips for embedded system for edge computing China NM NM NA
Kneron
NPU that accelerates neural network models making possible applications (e.g. face detection
and gesture control) in embedded devices
US TSMC 16nm Alibaba, Himax, Qualcomm
Lightmatter Programmable photonic to accelerate critical operations in deep neural networks US NM NM Alphabet
Lynxi Brain-like computing chip for high performance computing China NM 28nm NA
Mythic Ultra-low power neural networking inference chips based on flash+analog+digital US Fujitsu 40nm Lam Research, Micron, Softbank
Novumind AI for IoT US TSMC 7nm NA
Preferred Networks Real time data analytics and chipset solutions with deep learning library Japan TSMC 12nm Hitachi, Fanuc
Reduced Energy
Microsystems
Chipset solutions for deep learning and machine vision with low power consumption US GlobalFoundries 22nm FD-SOI NA
SambaNova Reconfigurable Array platform for matrix arithmetic for AI applications US NM NM Google, Intel
SenseTime Chipset solutions for computer vision China NM NM Softbank, Singtel, Qualcomm, Alibaba
SiMA.ai Machine Learning SoC platform for high performance and low power consumption US NM NM Dell
Syntiant Customized analog neural networks US NM 40nm ULP Amazon, Microsoft, Intel
Tenstorrent Deep learning processor for faster training and adaptability to future algorithms Canada GlobalFoundries 12nm NA
Thinkforce AI chips for edge computing China NM NM NA
Tsinghua Thinker Low power AI chips for edge computing China TSMC 65nm LP Tsinghua VC
Unisound Chipsets for AI-based speech and text capability China TSMC 28nm Qihoo
Vathys Chipset design for deep learning supercomputers US NM NM NA
Wave Computing ASIC solutions for deep learning computers US TSMC 7nm Samsung
Xanadu Quantum photonic processors Canada NM NM NA
In 2017, Chinese
investment in 109
AI chip companies
totaled $23.76
billion
China Fabless Leaders
.
Source: CSIA
.
Source: CSIA
Table 14 - China IC Design Market Share
.
Type Category Market Share % China Global
Calculating CPU/MPU <1% Phytium, Loongson, Sunway, zhaoxin,
Hisilicon
Intel, AMD
MCU 5~10% Sino wealth, Gigadevice, Ingenic, Eastsoft,
Belling, CRMicro, HDSC
Renesas, NXP, Freescale, STMicro, Infineon,
Microchip, Cypress
FPGA/CPLD <1% Gowinsemi, Pangomicro, Hercules, Anlogic,
isilicontek, Fudanmicro
Xilinx, Altera, Lattice, Microchip
DSP <1% CETC-14, Loongson TI, ADI
Communication Application
processor
15~20% Hisilicon, UniSoC Qualcomm, Mediatek, Samsung
Communication
processor
20~25% Hisilicon, UniSoC, Espressif, Beken Nufront,
Sino wealth, Allwiner
Broadcom, Qualcomm, Mediatek, TI, Realtek
Memory DRAM 0% CXMT, Uni Group Samsung, SK hynix, Micron
NAND Flash <1% YMTC Samsung, SK hynix, Micron, Toshiba, WD
Nor Flash 5~10% Gigadevice Cypress, Micron, Macronix
Analog IC Analog IC 5~10% SG micro, Will semi, Silergy, Hisilicon TI, ADI, Infineon, STMicro, NXP, Onsemi
Source: Jefferies estimates
How To Build A Fab
Steve Blank
sblank@kandranch.com
Fab Facts - 1
• Whoever has the smallest feature size is wins the tech race
Right now, that’s TSMC at 5nm
• Only three companies have the know-how to make fabs at the leading edge
• Samsung (South Korea), and TSMC (Taiwan), and Intel (US) barely
• Every transition to a smaller feature size is built on highly proprietary know-
how that the chipmaker learned from all the previous transitions
• There’s no way to magically jump the line by throwing money at the problem
• It takes two years to build a fab and ramp production
• Technology transitions happen approximately every 18 months, and
semiconductor sales peak every 24-36 months
• Neither technology roadmaps or market forecasts are reliable two years into the future
• bringing up production of the wrong technology in the middle of a downturn can have
disastrous financial consequences
Fab Facts - 2
• The know-how for fab building resides in the parent company, not in the
fab itself
• you couldn’t take all the employees and equipment at that fab and clone it it if
the parent company didn’t cooperate
• TSMC can’t make new, advanced fabs without equipment from the US
and Europe
• The US can stop TSMC’s ability to advance the state-of-the-art
• Innovation in the fab manufacturing process trumps everything else for
performance and power efficiency
4 Building Fab Layout – Example
1. Fabrication and Process Support Building
The building is divided into two areas:
• a 7,900 square meter process support area dedicated to material storage, testing, and
specialized support functions;
• a 16,100 square meter fabrication area that includes a 7,800 square meter, Class 100
“ballroom” on level two
2. Central Utility Building (CUB )
4,625 square meters building supplies the mechanical and electrical energy to
the entire physical plant.
• The CUB is a two-story structure, separated from the Fab by a service road
• All utilities running over to the Fab are conveyed through an overhead trestle
structure, providing easy access and flexibility for future changes.
4 Building Fab Layout – Example
3. Chemical and Waste Treatment Building
• Contains the process-related chemicals and waste treatment areas
supporting the fab process.
• 4,675-square meter building located adjacent to the Fab for chemical safety
and ease of distribution
• All chemicals are delivered, stored, and dispensed into the Fab from this
building.
• The waste treatment facility ha water recycling while ensuring safe discharge
of process wastes into the municipal systems
• Extensive air abatement systems are located on the roof of this building.
4. Office Building
• Four story 10,500 square meters of administration, engineering, etc.
The Fab Shell
• Lithography equipment requires control of ambient vibration
• Highways, airports and rail can render the site unsuitable or require complex seismic isolation
• Seismic isolation is often the most time-consuming and expensive part of construction
• Fab's power and water consumption place substantial pressure on local supplies
• availability of stable power ~100MW and abundant water will determine what on-site water
purification and power generation facilities are needed
• Construction projects are inherently dirty. Unless construction workers have built
fabs before, they may not be familiar with clean construction protocols.
• Final flooring, painting and landscaping happen earlier in a fab construction
project than in other kinds of construction.
• Materials need to be cleaned before installation and kept clean during construction
• As the fab shell grows and cleanliness becomes more critical
Cross Section of A Fab
The Clean Room
• The heart of the fab is the Clean Room
• This where the wafer fab equipment is
• a sealed environment with less than one
particle of 0.5 micron dust, per cubic foot
of air
• every surface and piece of equipment in the
clean room is freshly scrubbed and maintained
• Workers wear "bunny suits" (i.e., Goretex
jumpsuits, with face masks, hair caps and
rubber gloves) that cover everything but
their safety glasses
The Cleanroom Is the Heart of the Fab
Fab Gas Supply
10 assemblies contribute more than 90 percent
of facility costs
1. Process equipment
2. Structural/building
3. Electrical system
4. Mechanical wet side
5. Mechanical dry side
6. Interior architectural finishes
7. Cleanroom
8. Site development
9. Instrumentation and control
10. Life safety systems
Things That Can Go Wrong In A Fab
• Operational Phase
• Fires
• Fluids
• Contamination
• Electrical breakdown
• Explosion
• Service interruption
• Construction and Erection Phase
• Dropping of equipment
• Fire
• Explosion
Clean Room Hazards
• Ducts and pipes made of combustible material (e.g. pvc)
• High value concentrated in the entire clean room
• toxic and chemically aggressive gases and liquids
• high temperature applications
• high voltage equipment
• shock sensitivity of optoelectronic components
• chemical residue contamination and corrosion particle contamination
of clean room
• highly flammable/explosive gases and liquids
200mm Capacity and # of Fabs
• wafer manufacturers will add 22 new 200mm fabs in the next 4 years
Wafer Fab Equipment
The Fab Process
IDMs and Foundries
Back-end
Processes
Silicon wafers
Power/Water
Front-end Processes
Gases and Chemicals
Chip Designs
Finished
Chips
How Big is It? – Wafer Size
• Current fabs use wafers ~8 inch 200mm or
~12 inch 300mm
• 300mm wafers allow more chips to be made
at the same time
• But require new wafer fab equipment
• Most new fabs are 300mm
Installed Capacity By Wafer Size
As of Dec 2020
~12 inch ~8 inch ~8 inch
300mm ranking includes…
• DRAM and NAND flash memory suppliers
• Samsung, Micron, SK Hynix, and Kioxia/WD;
• Pure-play foundries
• TSMC, GlobalFoundries, UMC, and Powerchip
(including Nexchip)
• Intel, the biggest manufacturer of
microprocessors
• They benefit most from using the largest
wafer size available to amortize the
manufacturing cost per die
U.S. 12” (300mm) Fabs
Source: SEMI 2020 Fab Construction Monitor database
Wafer Fab Process Flow
Thermal process/furnace: An area with relatively
the local leader in this space
Source: Goldman Sachs Global Investment Research
Exhibit 202: Global SPE market by equipment segment: US$61bn in 2019
Equipment segments Lithography Deposition Process control
Thermal
process
Ion implanter
Market size (US$ bn) 11.7 12.0 6.2 1.4 1.2
Mix 19% 20% 10% 2% 2%
Applied Materials SCREEN ASML Applied Materials KLA Tokyo Electron AMAT
LAM Research LAM Research Cannon LAM Research Nanometrics Applied Materials Axcelis
Key suppliers Tokyo Electron Tokyo Electron Nikon TEL Applied Materials Hitachi Nissin
NA RA ACM Research SMEE NA RA Hitachi Hightech NA RA Wanye
AMEC NA RA Sypiotech Raintree Scientific Mattson
15.9
26%
Etch and clean
Green: major suppliers globally; Pink: China local supplier
Source: Goldman Sachs Global Investment Research, Gao Hua Securities Research, Gartner
e:GoldmanSachsGlobalInvestmentResearch
ibit202:GlobalSPEmarketbyequipmentsegment:US$61bnin2019
uipment segments Lithography Deposition Process control
Thermal
process
Ion implanter
Photoresist
processing
rket size (US$ bn) 11.7 12.0 6.2 1.4 1.2 2.1
x 19% 20% 10% 2% 2% 3%
Applied Materials SCREEN ASML Applied Materials KLA Tokyo Electron AMAT TEL
LAM Research LAM Research Cannon LAM Research Nanometrics Applied Materials Axcelis Mattson Tech
y suppliers Tokyo Electron Tokyo Electron Nikon TEL Applied Materials Hitachi Nissin
15.9
26%
Etch and clean
mentResearch
rketbyequipmentsegment:US$61bnin2019
Lithography Deposition Process control
Thermal
process
Ion implanter
Photoresist
processing
Others Test
11.7 12.0 6.2 1.4 1.2 2.1 2.1 5.5
19% 20% 10% 2% 2% 3% 3% 9%
SCREEN ASML Applied Materials KLA Tokyo Electron AMAT TEL Teradyne
LAM Research Cannon LAM Research Nanometrics Applied Materials Axcelis Mattson Tech Advantest
Tokyo Electron Nikon TEL Applied Materials Hitachi Nissin Cohu
9
%
d clean
Others Test Assembly
2.1 5.5 3
3% 9% 5%
Teradyne ASMPT
Advantest DISCO
Cohu BE SEMI
ent:US$61bnin2019
eposition Process control
Thermal
process
Ion implanter
Photoresist
processing
Others Test Assembly
12.0 6.2 1.4 1.2 2.1 2.1 5.5 3
20% 10% 2% 2% 3% 3% 9% 5%
ed Materials KLA Tokyo Electron AMAT TEL Teradyne ASMPT
Research Nanometrics Applied Materials Axcelis Mattson Tech Advantest DISCO
Applied Materials Hitachi Nissin Cohu BE SEMI
implanter
Photoresist
processing
Others Test Assembly
1.2 2.1 2.1 5.5 3
2% 3% 3% 9% 5%
TEL Teradyne ASMPT
s Mattson Tech Advantest DISCO
Cohu BE SEMI
Back-end of the Process
Front-end of the Process
Front-end of the Process
Wafers are
sawed out of
an ingot of
pure crystalline
silicon
Polishing
Material deposition
or modification
The resist is applied
to a spinning wafer
to achieve a
uniform layer
1
2
3
4
Using EUV Lithography the
chip patterns are “burned”
into the resist in an
exposure step
5
6
The print is
developed
through etching
and heating
7
Ion
Implantation
dope exposed
regions
8
The resist is
removed
9
A wafer
processing cycle
is complete, and
one layer has
been fabricated
10
Repeat 40 to
100 times
Cust chips
out of the
wafer and
test
Cut chips
out of the
wafer and
test
Package and
assembly the
chips
11
12
Chip Fabrication Steps
Mature processes
have yields of 30-80%
Wafer Fab Process Flow
Thermal process/furnace: An area with relatively
the local leader in this space
Source: Goldman Sachs Global Investment Research
Exhibit 202: Global SPE market by equipment segment: US$61bn in 2019
Equipment segments Lithography Deposition Process control
Thermal
process
Ion implanter
Market size (US$ bn) 11.7 12.0 6.2 1.4 1.2
Mix 19% 20% 10% 2% 2%
Applied Materials SCREEN ASML Applied Materials KLA Tokyo Electron AMAT
LAM Research LAM Research Cannon LAM Research Nanometrics Applied Materials Axcelis
Key suppliers Tokyo Electron Tokyo Electron Nikon TEL Applied Materials Hitachi Nissin
NA RA ACM Research SMEE NA RA Hitachi Hightech NA RA Wanye
AMEC NA RA Sypiotech Raintree Scientific Mattson
15.9
26%
Etch and clean
Green: major suppliers globally; Pink: China local supplier
Source: Goldman Sachs Global Investment Research, Gao Hua Securities Research, Gartner
e:GoldmanSachsGlobalInvestmentResearch
ibit202:GlobalSPEmarketbyequipmentsegment:US$61bnin2019
uipment segments Lithography Deposition Process control
Thermal
process
Ion implanter
Photoresist
processing
rket size (US$ bn) 11.7 12.0 6.2 1.4 1.2 2.1
x 19% 20% 10% 2% 2% 3%
Applied Materials SCREEN ASML Applied Materials KLA Tokyo Electron AMAT TEL
LAM Research LAM Research Cannon LAM Research Nanometrics Applied Materials Axcelis Mattson Tech
y suppliers Tokyo Electron Tokyo Electron Nikon TEL Applied Materials Hitachi Nissin
15.9
26%
Etch and clean
mentResearch
rketbyequipmentsegment:US$61bnin2019
Lithography Deposition Process control
Thermal
process
Ion implanter
Photoresist
processing
Others Test
11.7 12.0 6.2 1.4 1.2 2.1 2.1 5.5
19% 20% 10% 2% 2% 3% 3% 9%
SCREEN ASML Applied Materials KLA Tokyo Electron AMAT TEL Teradyne
LAM Research Cannon LAM Research Nanometrics Applied Materials Axcelis Mattson Tech Advantest
Tokyo Electron Nikon TEL Applied Materials Hitachi Nissin Cohu
9
%
d clean
Others Test Assembly
2.1 5.5 3
3% 9% 5%
Teradyne ASMPT
Advantest DISCO
Cohu BE SEMI
ent:US$61bnin2019
eposition Process control
Thermal
process
Ion implanter
Photoresist
processing
Others Test Assembly
12.0 6.2 1.4 1.2 2.1 2.1 5.5 3
20% 10% 2% 2% 3% 3% 9% 5%
ed Materials KLA Tokyo Electron AMAT TEL Teradyne ASMPT
Research Nanometrics Applied Materials Axcelis Mattson Tech Advantest DISCO
Applied Materials Hitachi Nissin Cohu BE SEMI
implanter
Photoresist
processing
Others Test Assembly
1.2 2.1 2.1 5.5 3
2% 3% 3% 9% 5%
TEL Teradyne ASMPT
s Mattson Tech Advantest DISCO
Cohu BE SEMI
Back-end of the Process
Front-end of the Process
Front-end of the Process
Wafer Fab Equipment Suppliers Landscape
Thermal process/furnace: An area with relatively
the local leader in this space
Thermal processes are used for a variety of high-
fabrications including dopant diffusion, thermal ox
Exhibit 202: Global SPE market by equipment segment: US$61bn in 2019
Equipment segments Lithography Deposition Process control
Thermal
process
Ion implanter
Market size (US$ bn) 11.7 12.0 6.2 1.4 1.2
Mix 19% 20% 10% 2% 2%
Applied Materials SCREEN ASML Applied Materials KLA Tokyo Electron AMAT
LAM Research LAM Research Cannon LAM Research Nanometrics Applied Materials Axcelis
Key suppliers Tokyo Electron Tokyo Electron Nikon TEL Applied Materials Hitachi Nissin
NA RA ACM Research SMEE NA RA Hitachi Hightech NA RA Wanye
AMEC NA RA Sypiotech Raintree Scientific Mattson
15.9
26%
Etch and clean
Green: major suppliers globally; Pink: China local supplier
Source: Goldman Sachs Global Investment Research, Gao Hua Securities Research, Gartner
Thermal process/furnace: An area with relatively
the local leader in this space
Source: Goldman Sachs Global Investment Research
Exhibit 202: Global SPE market by equipment segment: US$61bn in 2019
Equipment segments Lithography Deposition Process control
Thermal
process
Ion implanter
Market size (US$ bn) 11.7 12.0 6.2 1.4 1.2
Mix 19% 20% 10% 2% 2%
Applied Materials SCREEN ASML Applied Materials KLA Tokyo Electron AMAT
LAM Research LAM Research Cannon LAM Research Nanometrics Applied Materials Axcelis
Key suppliers Tokyo Electron Tokyo Electron Nikon TEL Applied Materials Hitachi Nissin
NA RA ACM Research SMEE NA RA Hitachi Hightech NA RA Wanye
AMEC NA RA Sypiotech Raintree Scientific Mattson
15.9
26%
Etch and clean
Green: major suppliers globally; Pink: China local supplier
Source: Goldman Sachs Global Investment Research, Gao Hua Securities Research, Gartner
Green = global
suppliers
Pink = China
suppliers
ce:GoldmanSachsGlobalInvestmentResearch
hibit202:GlobalSPEmarketbyequipmentsegment:US$61bnin2019
quipment segments Lithography Deposition Process control
Thermal
process
Ion implanter
Photoresist
processing
arket size (US$ bn) 11.7 12.0 6.2 1.4 1.2 2.1
x 19% 20% 10% 2% 2% 3%
Applied Materials SCREEN ASML Applied Materials KLA Tokyo Electron AMAT TEL
LAM Research LAM Research Cannon LAM Research Nanometrics Applied Materials Axcelis Mattson Tech
ey suppliers Tokyo Electron Tokyo Electron Nikon TEL Applied Materials Hitachi Nissin
NA RA ACM Research SMEE NA RA Hitachi Hightech NA RA Wanye Kingsemi
AMEC NA RA Sypiotech Raintree Scientific Mattson
15.9
26%
Etch and clean
n:majorsuppliersglobally;Pink:Chinalocalsupplier
ce:GoldmanSachsGlobalInvestmentResearch,GaoHuaSecuritiesResearch,Gartner
e:GoldmanSachsGlobalInvestmentResearch
bit202:GlobalSPEmarketbyequipmentsegment:US$61bnin2019
ipment segments Lithography Deposition Process control
Thermal
process
Ion implanter
Photoresist
processing
ket size (US$ bn) 11.7 12.0 6.2 1.4 1.2 2.1
19% 20% 10% 2% 2% 3%
Applied Materials SCREEN ASML Applied Materials KLA Tokyo Electron AMAT TEL
LAM Research LAM Research Cannon LAM Research Nanometrics Applied Materials Axcelis Mattson Tech
suppliers Tokyo Electron Tokyo Electron Nikon TEL Applied Materials Hitachi Nissin
NA RA ACM Research SMEE NA RA Hitachi Hightech NA RA Wanye Kingsemi
AMEC NA RA Sypiotech Raintree Scientific Mattson
15.9
26%
Etch and clean
entResearch
ketbyequipmentsegment:US$61bnin2019
Lithography Deposition Process control
Thermal
process
Ion implanter
Photoresist
processing
Others Test
11.7 12.0 6.2 1.4 1.2 2.1 2.1 5.5
19% 20% 10% 2% 2% 3% 3% 9%
SCREEN ASML Applied Materials KLA Tokyo Electron AMAT TEL Teradyne A
LAM Research Cannon LAM Research Nanometrics Applied Materials Axcelis Mattson Tech Advantest D
Tokyo Electron Nikon TEL Applied Materials Hitachi Nissin Cohu B
ACM Research SMEE NA RA Hitachi Hightech NA RA Wanye Kingsemi AccoTest K
NA RA Sypiotech Raintree Scientific Mattson Changchuan C
clean
hinalocalsupplier
entResearch,GaoHuaSecuritiesResearch,Gartner
ntResearch
ketbyequipmentsegment:US$61bnin2019
Lithography Deposition Process control
Thermal
process
Ion implanter
Photoresist
processing
Others Test
11.7 12.0 6.2 1.4 1.2 2.1 2.1 5.5
19% 20% 10% 2% 2% 3% 3% 9%
CREEN ASML Applied Materials KLA Tokyo Electron AMAT TEL Teradyne AS
AM Research Cannon LAM Research Nanometrics Applied Materials Axcelis Mattson Tech Advantest DI
okyo Electron Nikon TEL Applied Materials Hitachi Nissin Cohu BE
CM Research SMEE NA RA Hitachi Hightech NA RA Wanye Kingsemi AccoTest K
A RA Sypiotech Raintree Scientific Mattson Changchuan CE
clean
Others Test Assembly
2.1 5.5 3
3% 9% 5%
Teradyne ASMPT
Advantest DISCO
Cohu BE SEMI
AccoTest K S
Changchuan CEC institute 45
Others Test Assembly
2.1 5.5 3
3% 9% 5%
Teradyne ASMPT
Advantest DISCO
Cohu BE SEMI
AccoTest K S
Changchuan CEC institute 45
ent:US$61bnin2019
position Process control
Thermal
process
Ion implanter
Photoresist
processing
Others Test Assembly
12.0 6.2 1.4 1.2 2.1 2.1 5.5 3
20% 10% 2% 2% 3% 3% 9% 5%
d Materials KLA Tokyo Electron AMAT TEL Teradyne ASMPT
Research Nanometrics Applied Materials Axcelis Mattson Tech Advantest DISCO
Applied Materials Hitachi Nissin Cohu BE SEMI
A Hitachi Hightech NA RA Wanye Kingsemi AccoTest K S
ech Raintree Scientific Mattson Changchuan CEC institute 45
ent:US$61bnin2019
eposition Process control
Thermal
process
Ion implanter
Photoresist
processing
Others Test Assembly
12.0 6.2 1.4 1.2 2.1 2.1 5.5 3
20% 10% 2% 2% 3% 3% 9% 5%
d Materials KLA Tokyo Electron AMAT TEL Teradyne ASMPT
Research Nanometrics Applied Materials Axcelis Mattson Tech Advantest DISCO
Applied Materials Hitachi Nissin Cohu BE SEMI
RA Hitachi Hightech NA RA Wanye Kingsemi AccoTest K S
ech Raintree Scientific Mattson Changchuan CEC institute 45
Research,Gartner
implanter
Photoresist
processing
Others Test Assembly
1.2 2.1 2.1 5.5 3
2% 3% 3% 9% 5%
T TEL Teradyne ASMPT
is Mattson Tech Advantest DISCO
n Cohu BE SEMI
ye Kingsemi AccoTest K S
Changchuan CEC institute 45
n implanter
Photoresist
processing
Others Test Assembly
1.2 2.1 2.1 5.5 3
2% 3% 3% 9% 5%
T TEL Teradyne ASMPT
lis Mattson Tech Advantest DISCO
n Cohu BE SEMI
ye Kingsemi AccoTest K S
Changchuan CEC institute 45
As Transistors Shrink New Fab Equipment
for Logic is Needed
As Transistors Shrink New Fab Equipment
for Memory is Needed
Shrinking DRAMs further is hard
• 2008 – 40nm-class –49nm to 40nm - or 4x
• 2010 – 30nm-class – 39nm – 30nm – or 3x
• 2011 – 20nm-class – 29nm – 20nm – or 2x
• 2016 – 10nm-class – 19nm – 10nm – or 1x
Today, vendors are still shipping at the 1xnm node with
three sub-levels :
• 1xnm – 19nm – 17nm (Gen1)
• 1ynm – 16nm – 14nm (Gen 2)
• 1znm – 13nm – 11nm (Gen 3)
three more scaled generations of DRAM are on the
roadmap, all still at the 1xnm node level
• 1anm (Gen 4)
• 1bnm (Gen 5)
• 1cnm (Gen 6)
Production of 3D NAND memory involves a
stack of over 100 insulator and circuit layers,
creating holes using etching systems, and filling
those holes with doped silicon oxide
Industry Shrink and EUV Insertion
Source: ASML
Figure 18. Industry Shrink Roadmap & EUV insertion
Source: ASML
In logic, EUV is being adopted in 7nm processes, mainly by TSMC and Samsung.
For DRAM, while EUV adoption should begin in earnest from 1znm processes,
Samsung is already using EUV tech for its 1xnm process, which we think is
indicative of earlier-than-expected EUV adoption for memory. On the other hand, for
Prepared
for
Brett
Miller
Fab Process:
Silicon Wafers
How Big Is It? – Wafer Size
• Current fabs use silicon wafers ~8 inch
200mm or ~12 inch 300mm
• 300mm wafers allow more chips to be made
at the same time
• Most new fabs are 300mm
a broad customer base may produce up to several hundred different types of wafers.
The production process of a semiconductor silicon wafer is illustrated in the below
exhibit. Companies generally use in-house monocrystalline pulling equipment in the
ingot manufacturing process. The average production lead time is 2 months. Purchasing
contracts are usually 3-6 months, but could be extended to 12 months or longer,
depending on the supply-demand situation and the customers involved.
The key barriers in silicon wafer production
The quality of silicon wafers can directly impact the yield rate of chip manufacturing. Key
challenges in silicon wafer production are purity (requires 99.999999999% at least),
Exhibit 253: Wafer sizes and their end applications
Wafer size Process node Application
7-10nm High-end smartphone AP, CPU for PC / servers, GPU
14 / 16nm Smartphone AP, CPU, GPU
20-22nm DRAM, NAND, low-end smartphone AP, TV / set-top-box IC
28-32nm Wi-Fi Bluetooth IC, audio processing IC, TV / set-top-box IC
45-65nm CIS, RF IC, GPS / NFC IC, NOR flash
90nm-0.13um IoT IC, automobile MCU, RF IC, base station DSP
0.13-0.15um Fingerprint IC, PMIC, LED driver IC, sensors
0.18-0.25um CIS, eNVM
0.35-0.5um MOSFET, IGBT
0.5-1.2um MOSFET, IGBT, MEMS, diode, triode
12’’
8’’
2’’-6’’
Source: Data compiled by Goldman Sachs Global Investment Research
China Semiconductors
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial
Semiconductor Industry Tutorial

More Related Content

What's hot

ALD/CVD applications, equipment and precursors in high volume manufacturing
ALD/CVD applications, equipment and precursors in high volume manufacturingALD/CVD applications, equipment and precursors in high volume manufacturing
ALD/CVD applications, equipment and precursors in high volume manufacturingJonas Sundqvist
 
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...Yole Developpement
 
Advanced Substrates Overview: From IC Package to Board - 2017 Report by Yole ...
Advanced Substrates Overview: From IC Package to Board - 2017 Report by Yole ...Advanced Substrates Overview: From IC Package to Board - 2017 Report by Yole ...
Advanced Substrates Overview: From IC Package to Board - 2017 Report by Yole ...Yole Developpement
 
GaN RF Market: Applications, Players, Technology and Substrates 2020
GaN RF Market: Applications, Players, Technology and Substrates 2020GaN RF Market: Applications, Players, Technology and Substrates 2020
GaN RF Market: Applications, Players, Technology and Substrates 2020Yole Developpement
 
RF GaN Market: Applications, Players, Technology and Substrates 2019 report b...
RF GaN Market: Applications, Players, Technology and Substrates 2019 report b...RF GaN Market: Applications, Players, Technology and Substrates 2019 report b...
RF GaN Market: Applications, Players, Technology and Substrates 2019 report b...Yole Developpement
 
Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...
Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...
Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...Yole Developpement
 
A view of semiconductor industry
A view of semiconductor industryA view of semiconductor industry
A view of semiconductor industryLen Mei
 
Flipchip Technologies & Market Trends 2015 Report by Yole Developpement
Flipchip Technologies & Market Trends 2015 Report by Yole DeveloppementFlipchip Technologies & Market Trends 2015 Report by Yole Developpement
Flipchip Technologies & Market Trends 2015 Report by Yole DeveloppementYole Developpement
 
System-in-Package Technology and Market Trends 2020 report by Yole Développement
System-in-Package Technology and Market Trends 2020 report by Yole DéveloppementSystem-in-Package Technology and Market Trends 2020 report by Yole Développement
System-in-Package Technology and Market Trends 2020 report by Yole DéveloppementYole Developpement
 
Advanced Packaging Role after Moore’s Law: Transition from Technology Node Er...
Advanced Packaging Role after Moore’s Law: Transition from Technology Node Er...Advanced Packaging Role after Moore’s Law: Transition from Technology Node Er...
Advanced Packaging Role after Moore’s Law: Transition from Technology Node Er...Yole Developpement
 
High-end Performance Packaging 2020
High-end Performance Packaging 2020High-end Performance Packaging 2020
High-end Performance Packaging 2020Yole Developpement
 
Semiconductor overview
Semiconductor overviewSemiconductor overview
Semiconductor overviewNabil Chouba
 
Ic 封裝新技術發展趨勢
Ic 封裝新技術發展趨勢Ic 封裝新技術發展趨勢
Ic 封裝新技術發展趨勢Kent Yang
 
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...Yole Developpement
 
Bonding and Lithography Equipment Market for More than Moore Devices by Yole ...
Bonding and Lithography Equipment Market for More than Moore Devices by Yole ...Bonding and Lithography Equipment Market for More than Moore Devices by Yole ...
Bonding and Lithography Equipment Market for More than Moore Devices by Yole ...Yole Developpement
 
Power Management: Technology, Industry and Trends 2019 - by Yole Développement
Power Management: Technology, Industry and Trends 2019 - by Yole DéveloppementPower Management: Technology, Industry and Trends 2019 - by Yole Développement
Power Management: Technology, Industry and Trends 2019 - by Yole DéveloppementYole Developpement
 
Inter-DCI and co-packaged optics
Inter-DCI and co-packaged opticsInter-DCI and co-packaged optics
Inter-DCI and co-packaged opticsADVA
 
The worldwide semiconductor industry: Trends and opportunities 2016
The worldwide semiconductor industry: Trends and opportunities 2016 The worldwide semiconductor industry: Trends and opportunities 2016
The worldwide semiconductor industry: Trends and opportunities 2016 The Broker Forum
 
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...Yole Developpement
 
Chemical Vaour Deposition & Physical Vapour Deposition techniques.
Chemical Vaour Deposition & Physical Vapour Deposition techniques.Chemical Vaour Deposition & Physical Vapour Deposition techniques.
Chemical Vaour Deposition & Physical Vapour Deposition techniques.Tapan Patel
 

What's hot (20)

ALD/CVD applications, equipment and precursors in high volume manufacturing
ALD/CVD applications, equipment and precursors in high volume manufacturingALD/CVD applications, equipment and precursors in high volume manufacturing
ALD/CVD applications, equipment and precursors in high volume manufacturing
 
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
 
Advanced Substrates Overview: From IC Package to Board - 2017 Report by Yole ...
Advanced Substrates Overview: From IC Package to Board - 2017 Report by Yole ...Advanced Substrates Overview: From IC Package to Board - 2017 Report by Yole ...
Advanced Substrates Overview: From IC Package to Board - 2017 Report by Yole ...
 
GaN RF Market: Applications, Players, Technology and Substrates 2020
GaN RF Market: Applications, Players, Technology and Substrates 2020GaN RF Market: Applications, Players, Technology and Substrates 2020
GaN RF Market: Applications, Players, Technology and Substrates 2020
 
RF GaN Market: Applications, Players, Technology and Substrates 2019 report b...
RF GaN Market: Applications, Players, Technology and Substrates 2019 report b...RF GaN Market: Applications, Players, Technology and Substrates 2019 report b...
RF GaN Market: Applications, Players, Technology and Substrates 2019 report b...
 
Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...
Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...
Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...
 
A view of semiconductor industry
A view of semiconductor industryA view of semiconductor industry
A view of semiconductor industry
 
Flipchip Technologies & Market Trends 2015 Report by Yole Developpement
Flipchip Technologies & Market Trends 2015 Report by Yole DeveloppementFlipchip Technologies & Market Trends 2015 Report by Yole Developpement
Flipchip Technologies & Market Trends 2015 Report by Yole Developpement
 
System-in-Package Technology and Market Trends 2020 report by Yole Développement
System-in-Package Technology and Market Trends 2020 report by Yole DéveloppementSystem-in-Package Technology and Market Trends 2020 report by Yole Développement
System-in-Package Technology and Market Trends 2020 report by Yole Développement
 
Advanced Packaging Role after Moore’s Law: Transition from Technology Node Er...
Advanced Packaging Role after Moore’s Law: Transition from Technology Node Er...Advanced Packaging Role after Moore’s Law: Transition from Technology Node Er...
Advanced Packaging Role after Moore’s Law: Transition from Technology Node Er...
 
High-end Performance Packaging 2020
High-end Performance Packaging 2020High-end Performance Packaging 2020
High-end Performance Packaging 2020
 
Semiconductor overview
Semiconductor overviewSemiconductor overview
Semiconductor overview
 
Ic 封裝新技術發展趨勢
Ic 封裝新技術發展趨勢Ic 封裝新技術發展趨勢
Ic 封裝新技術發展趨勢
 
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...
 
Bonding and Lithography Equipment Market for More than Moore Devices by Yole ...
Bonding and Lithography Equipment Market for More than Moore Devices by Yole ...Bonding and Lithography Equipment Market for More than Moore Devices by Yole ...
Bonding and Lithography Equipment Market for More than Moore Devices by Yole ...
 
Power Management: Technology, Industry and Trends 2019 - by Yole Développement
Power Management: Technology, Industry and Trends 2019 - by Yole DéveloppementPower Management: Technology, Industry and Trends 2019 - by Yole Développement
Power Management: Technology, Industry and Trends 2019 - by Yole Développement
 
Inter-DCI and co-packaged optics
Inter-DCI and co-packaged opticsInter-DCI and co-packaged optics
Inter-DCI and co-packaged optics
 
The worldwide semiconductor industry: Trends and opportunities 2016
The worldwide semiconductor industry: Trends and opportunities 2016 The worldwide semiconductor industry: Trends and opportunities 2016
The worldwide semiconductor industry: Trends and opportunities 2016
 
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...
 
Chemical Vaour Deposition & Physical Vapour Deposition techniques.
Chemical Vaour Deposition & Physical Vapour Deposition techniques.Chemical Vaour Deposition & Physical Vapour Deposition techniques.
Chemical Vaour Deposition & Physical Vapour Deposition techniques.
 

Similar to Semiconductor Industry Tutorial

Similar to Semiconductor Industry Tutorial (20)

System On Chip (SOC)
System On Chip (SOC)System On Chip (SOC)
System On Chip (SOC)
 
High-Tech Printed Circuit Boards Overview
High-Tech Printed Circuit Boards OverviewHigh-Tech Printed Circuit Boards Overview
High-Tech Printed Circuit Boards Overview
 
basic vlsi ppt
basic vlsi pptbasic vlsi ppt
basic vlsi ppt
 
1 1 vlsi introduction_overview
1 1 vlsi introduction_overview1 1 vlsi introduction_overview
1 1 vlsi introduction_overview
 
vlsi ajal
vlsi ajalvlsi ajal
vlsi ajal
 
IC Technology
IC Technology IC Technology
IC Technology
 
Ic Technology
Ic Technology Ic Technology
Ic Technology
 
Rf technology 5-8-2011-final-revised
Rf technology 5-8-2011-final-revisedRf technology 5-8-2011-final-revised
Rf technology 5-8-2011-final-revised
 
Embedded system Design
Embedded system DesignEmbedded system Design
Embedded system Design
 
Syste O CHip Concepts for Students.ppt
Syste O CHip Concepts for Students.pptSyste O CHip Concepts for Students.ppt
Syste O CHip Concepts for Students.ppt
 
Final
FinalFinal
Final
 
Omega electronics ppt
Omega electronics  pptOmega electronics  ppt
Omega electronics ppt
 
Intel - Business Model
Intel - Business ModelIntel - Business Model
Intel - Business Model
 
BSI Overview MEC MESC Short 8_17_16
BSI Overview MEC MESC  Short 8_17_16BSI Overview MEC MESC  Short 8_17_16
BSI Overview MEC MESC Short 8_17_16
 
Mikron - Leading Semiconductor IDM Company in Russia
Mikron - Leading Semiconductor IDM Company in RussiaMikron - Leading Semiconductor IDM Company in Russia
Mikron - Leading Semiconductor IDM Company in Russia
 
System On Chip
System On ChipSystem On Chip
System On Chip
 
VLSI unit 1 Technology - S.ppt
VLSI unit 1 Technology - S.pptVLSI unit 1 Technology - S.ppt
VLSI unit 1 Technology - S.ppt
 
1. Introduction to PnR.pptx
1. Introduction to PnR.pptx1. Introduction to PnR.pptx
1. Introduction to PnR.pptx
 
Micro controller & Micro processor
Micro controller & Micro processorMicro controller & Micro processor
Micro controller & Micro processor
 
IBM and ASTRON 64bit μServer for DOME
IBM and ASTRON 64bit μServer for DOMEIBM and ASTRON 64bit μServer for DOME
IBM and ASTRON 64bit μServer for DOME
 

More from Stanford University

Team Networks - 2022 Technology, Innovation & Great Power Competition
Team Networks  - 2022 Technology, Innovation & Great Power CompetitionTeam Networks  - 2022 Technology, Innovation & Great Power Competition
Team Networks - 2022 Technology, Innovation & Great Power CompetitionStanford University
 
Team LiOn Batteries - 2022 Technology, Innovation & Great Power Competition
Team LiOn Batteries  - 2022 Technology, Innovation & Great Power CompetitionTeam LiOn Batteries  - 2022 Technology, Innovation & Great Power Competition
Team LiOn Batteries - 2022 Technology, Innovation & Great Power CompetitionStanford University
 
Team Quantum - 2022 Technology, Innovation & Great Power Competition
Team Quantum  - 2022 Technology, Innovation & Great Power CompetitionTeam Quantum  - 2022 Technology, Innovation & Great Power Competition
Team Quantum - 2022 Technology, Innovation & Great Power CompetitionStanford University
 
Team Disinformation - 2022 Technology, Innovation & Great Power Competition
Team Disinformation  - 2022 Technology, Innovation & Great Power CompetitionTeam Disinformation  - 2022 Technology, Innovation & Great Power Competition
Team Disinformation - 2022 Technology, Innovation & Great Power CompetitionStanford University
 
Team Wargames - 2022 Technology, Innovation & Great Power Competition
Team Wargames  - 2022 Technology, Innovation & Great Power CompetitionTeam Wargames  - 2022 Technology, Innovation & Great Power Competition
Team Wargames - 2022 Technology, Innovation & Great Power CompetitionStanford University
 
Team Acquistion - 2022 Technology, Innovation & Great Power Competition
Team Acquistion  - 2022 Technology, Innovation & Great Power Competition Team Acquistion  - 2022 Technology, Innovation & Great Power Competition
Team Acquistion - 2022 Technology, Innovation & Great Power Competition Stanford University
 
Team Climate Change - 2022 Technology, Innovation & Great Power Competition
Team Climate Change - 2022 Technology, Innovation & Great Power Competition Team Climate Change - 2022 Technology, Innovation & Great Power Competition
Team Climate Change - 2022 Technology, Innovation & Great Power Competition Stanford University
 
Altuna Engr245 2022 Lessons Learned
Altuna Engr245 2022 Lessons LearnedAltuna Engr245 2022 Lessons Learned
Altuna Engr245 2022 Lessons LearnedStanford University
 
Invisa Engr245 2022 Lessons Learned
Invisa Engr245 2022 Lessons LearnedInvisa Engr245 2022 Lessons Learned
Invisa Engr245 2022 Lessons LearnedStanford University
 
ānanda Engr245 2022 Lessons Learned
ānanda Engr245 2022 Lessons Learnedānanda Engr245 2022 Lessons Learned
ānanda Engr245 2022 Lessons LearnedStanford University
 
Gordian Knot Center Roundtable w/Depty SecDef
Gordian Knot Center Roundtable w/Depty SecDef Gordian Knot Center Roundtable w/Depty SecDef
Gordian Knot Center Roundtable w/Depty SecDef Stanford University
 
Team Army venture capital - 2021 Technology, Innovation & Great Power Competi...
Team Army venture capital - 2021 Technology, Innovation & Great Power Competi...Team Army venture capital - 2021 Technology, Innovation & Great Power Competi...
Team Army venture capital - 2021 Technology, Innovation & Great Power Competi...Stanford University
 
Team Army venture capital - 2021 Technology, Innovation & Great Power Competi...
Team Army venture capital - 2021 Technology, Innovation & Great Power Competi...Team Army venture capital - 2021 Technology, Innovation & Great Power Competi...
Team Army venture capital - 2021 Technology, Innovation & Great Power Competi...Stanford University
 
Team Catena - 2021 Technology, Innovation & Great Power Competition
Team Catena - 2021 Technology, Innovation & Great Power CompetitionTeam Catena - 2021 Technology, Innovation & Great Power Competition
Team Catena - 2021 Technology, Innovation & Great Power CompetitionStanford University
 
Team Apollo - 2021 Technology, Innovation & Great Power Competition
Team Apollo - 2021 Technology, Innovation & Great Power CompetitionTeam Apollo - 2021 Technology, Innovation & Great Power Competition
Team Apollo - 2021 Technology, Innovation & Great Power CompetitionStanford University
 
Team Drone - 2021 Technology, Innovation & Great Power Competition
Team Drone - 2021 Technology, Innovation & Great Power CompetitionTeam Drone - 2021 Technology, Innovation & Great Power Competition
Team Drone - 2021 Technology, Innovation & Great Power CompetitionStanford University
 
Team Short Circuit - 2021 Technology, Innovation & Great Power Competition
Team Short Circuit - 2021 Technology, Innovation & Great Power CompetitionTeam Short Circuit - 2021 Technology, Innovation & Great Power Competition
Team Short Circuit - 2021 Technology, Innovation & Great Power CompetitionStanford University
 
Team Aurora - 2021 Technology, Innovation & Great Power Competition
Team Aurora - 2021 Technology, Innovation & Great Power CompetitionTeam Aurora - 2021 Technology, Innovation & Great Power Competition
Team Aurora - 2021 Technology, Innovation & Great Power CompetitionStanford University
 
Team Conflicted Capital Team - 2021 Technology, Innovation & Great Power Comp...
Team Conflicted Capital Team - 2021 Technology, Innovation & Great Power Comp...Team Conflicted Capital Team - 2021 Technology, Innovation & Great Power Comp...
Team Conflicted Capital Team - 2021 Technology, Innovation & Great Power Comp...Stanford University
 
Lecture 8 - Technology, Innovation and Great Power Competition - Cyber
Lecture 8 - Technology, Innovation and Great Power Competition - CyberLecture 8 - Technology, Innovation and Great Power Competition - Cyber
Lecture 8 - Technology, Innovation and Great Power Competition - CyberStanford University
 

More from Stanford University (20)

Team Networks - 2022 Technology, Innovation & Great Power Competition
Team Networks  - 2022 Technology, Innovation & Great Power CompetitionTeam Networks  - 2022 Technology, Innovation & Great Power Competition
Team Networks - 2022 Technology, Innovation & Great Power Competition
 
Team LiOn Batteries - 2022 Technology, Innovation & Great Power Competition
Team LiOn Batteries  - 2022 Technology, Innovation & Great Power CompetitionTeam LiOn Batteries  - 2022 Technology, Innovation & Great Power Competition
Team LiOn Batteries - 2022 Technology, Innovation & Great Power Competition
 
Team Quantum - 2022 Technology, Innovation & Great Power Competition
Team Quantum  - 2022 Technology, Innovation & Great Power CompetitionTeam Quantum  - 2022 Technology, Innovation & Great Power Competition
Team Quantum - 2022 Technology, Innovation & Great Power Competition
 
Team Disinformation - 2022 Technology, Innovation & Great Power Competition
Team Disinformation  - 2022 Technology, Innovation & Great Power CompetitionTeam Disinformation  - 2022 Technology, Innovation & Great Power Competition
Team Disinformation - 2022 Technology, Innovation & Great Power Competition
 
Team Wargames - 2022 Technology, Innovation & Great Power Competition
Team Wargames  - 2022 Technology, Innovation & Great Power CompetitionTeam Wargames  - 2022 Technology, Innovation & Great Power Competition
Team Wargames - 2022 Technology, Innovation & Great Power Competition
 
Team Acquistion - 2022 Technology, Innovation & Great Power Competition
Team Acquistion  - 2022 Technology, Innovation & Great Power Competition Team Acquistion  - 2022 Technology, Innovation & Great Power Competition
Team Acquistion - 2022 Technology, Innovation & Great Power Competition
 
Team Climate Change - 2022 Technology, Innovation & Great Power Competition
Team Climate Change - 2022 Technology, Innovation & Great Power Competition Team Climate Change - 2022 Technology, Innovation & Great Power Competition
Team Climate Change - 2022 Technology, Innovation & Great Power Competition
 
Altuna Engr245 2022 Lessons Learned
Altuna Engr245 2022 Lessons LearnedAltuna Engr245 2022 Lessons Learned
Altuna Engr245 2022 Lessons Learned
 
Invisa Engr245 2022 Lessons Learned
Invisa Engr245 2022 Lessons LearnedInvisa Engr245 2022 Lessons Learned
Invisa Engr245 2022 Lessons Learned
 
ānanda Engr245 2022 Lessons Learned
ānanda Engr245 2022 Lessons Learnedānanda Engr245 2022 Lessons Learned
ānanda Engr245 2022 Lessons Learned
 
Gordian Knot Center Roundtable w/Depty SecDef
Gordian Knot Center Roundtable w/Depty SecDef Gordian Knot Center Roundtable w/Depty SecDef
Gordian Knot Center Roundtable w/Depty SecDef
 
Team Army venture capital - 2021 Technology, Innovation & Great Power Competi...
Team Army venture capital - 2021 Technology, Innovation & Great Power Competi...Team Army venture capital - 2021 Technology, Innovation & Great Power Competi...
Team Army venture capital - 2021 Technology, Innovation & Great Power Competi...
 
Team Army venture capital - 2021 Technology, Innovation & Great Power Competi...
Team Army venture capital - 2021 Technology, Innovation & Great Power Competi...Team Army venture capital - 2021 Technology, Innovation & Great Power Competi...
Team Army venture capital - 2021 Technology, Innovation & Great Power Competi...
 
Team Catena - 2021 Technology, Innovation & Great Power Competition
Team Catena - 2021 Technology, Innovation & Great Power CompetitionTeam Catena - 2021 Technology, Innovation & Great Power Competition
Team Catena - 2021 Technology, Innovation & Great Power Competition
 
Team Apollo - 2021 Technology, Innovation & Great Power Competition
Team Apollo - 2021 Technology, Innovation & Great Power CompetitionTeam Apollo - 2021 Technology, Innovation & Great Power Competition
Team Apollo - 2021 Technology, Innovation & Great Power Competition
 
Team Drone - 2021 Technology, Innovation & Great Power Competition
Team Drone - 2021 Technology, Innovation & Great Power CompetitionTeam Drone - 2021 Technology, Innovation & Great Power Competition
Team Drone - 2021 Technology, Innovation & Great Power Competition
 
Team Short Circuit - 2021 Technology, Innovation & Great Power Competition
Team Short Circuit - 2021 Technology, Innovation & Great Power CompetitionTeam Short Circuit - 2021 Technology, Innovation & Great Power Competition
Team Short Circuit - 2021 Technology, Innovation & Great Power Competition
 
Team Aurora - 2021 Technology, Innovation & Great Power Competition
Team Aurora - 2021 Technology, Innovation & Great Power CompetitionTeam Aurora - 2021 Technology, Innovation & Great Power Competition
Team Aurora - 2021 Technology, Innovation & Great Power Competition
 
Team Conflicted Capital Team - 2021 Technology, Innovation & Great Power Comp...
Team Conflicted Capital Team - 2021 Technology, Innovation & Great Power Comp...Team Conflicted Capital Team - 2021 Technology, Innovation & Great Power Comp...
Team Conflicted Capital Team - 2021 Technology, Innovation & Great Power Comp...
 
Lecture 8 - Technology, Innovation and Great Power Competition - Cyber
Lecture 8 - Technology, Innovation and Great Power Competition - CyberLecture 8 - Technology, Innovation and Great Power Competition - Cyber
Lecture 8 - Technology, Innovation and Great Power Competition - Cyber
 

Recently uploaded

Scientific Writing :Research Discourse
Scientific  Writing :Research  DiscourseScientific  Writing :Research  Discourse
Scientific Writing :Research DiscourseAnita GoswamiGiri
 
How to Make a Duplicate of Your Odoo 17 Database
How to Make a Duplicate of Your Odoo 17 DatabaseHow to Make a Duplicate of Your Odoo 17 Database
How to Make a Duplicate of Your Odoo 17 DatabaseCeline George
 
Congestive Cardiac Failure..presentation
Congestive Cardiac Failure..presentationCongestive Cardiac Failure..presentation
Congestive Cardiac Failure..presentationdeepaannamalai16
 
ICS 2208 Lecture Slide Notes for Topic 6
ICS 2208 Lecture Slide Notes for Topic 6ICS 2208 Lecture Slide Notes for Topic 6
ICS 2208 Lecture Slide Notes for Topic 6Vanessa Camilleri
 
Employablity presentation and Future Career Plan.pptx
Employablity presentation and Future Career Plan.pptxEmployablity presentation and Future Career Plan.pptx
Employablity presentation and Future Career Plan.pptxryandux83rd
 
Comparative Literature in India by Amiya dev.pptx
Comparative Literature in India by Amiya dev.pptxComparative Literature in India by Amiya dev.pptx
Comparative Literature in India by Amiya dev.pptxAvaniJani1
 
Integumentary System SMP B. Pharm Sem I.ppt
Integumentary System SMP B. Pharm Sem I.pptIntegumentary System SMP B. Pharm Sem I.ppt
Integumentary System SMP B. Pharm Sem I.pptshraddhaparab530
 
How to Fix XML SyntaxError in Odoo the 17
How to Fix XML SyntaxError in Odoo the 17How to Fix XML SyntaxError in Odoo the 17
How to Fix XML SyntaxError in Odoo the 17Celine George
 
ARTERIAL BLOOD GAS ANALYSIS........pptx
ARTERIAL BLOOD  GAS ANALYSIS........pptxARTERIAL BLOOD  GAS ANALYSIS........pptx
ARTERIAL BLOOD GAS ANALYSIS........pptxAneriPatwari
 
Q-Factor General Quiz-7th April 2024, Quiz Club NITW
Q-Factor General Quiz-7th April 2024, Quiz Club NITWQ-Factor General Quiz-7th April 2024, Quiz Club NITW
Q-Factor General Quiz-7th April 2024, Quiz Club NITWQuiz Club NITW
 
Indexing Structures in Database Management system.pdf
Indexing Structures in Database Management system.pdfIndexing Structures in Database Management system.pdf
Indexing Structures in Database Management system.pdfChristalin Nelson
 
Tree View Decoration Attribute in the Odoo 17
Tree View Decoration Attribute in the Odoo 17Tree View Decoration Attribute in the Odoo 17
Tree View Decoration Attribute in the Odoo 17Celine George
 
Satirical Depths - A Study of Gabriel Okara's Poem - 'You Laughed and Laughed...
Satirical Depths - A Study of Gabriel Okara's Poem - 'You Laughed and Laughed...Satirical Depths - A Study of Gabriel Okara's Poem - 'You Laughed and Laughed...
Satirical Depths - A Study of Gabriel Okara's Poem - 'You Laughed and Laughed...HetalPathak10
 
Sulphonamides, mechanisms and their uses
Sulphonamides, mechanisms and their usesSulphonamides, mechanisms and their uses
Sulphonamides, mechanisms and their usesVijayaLaxmi84
 
MS4 level being good citizen -imperative- (1) (1).pdf
MS4 level   being good citizen -imperative- (1) (1).pdfMS4 level   being good citizen -imperative- (1) (1).pdf
MS4 level being good citizen -imperative- (1) (1).pdfMr Bounab Samir
 

Recently uploaded (20)

Scientific Writing :Research Discourse
Scientific  Writing :Research  DiscourseScientific  Writing :Research  Discourse
Scientific Writing :Research Discourse
 
How to Make a Duplicate of Your Odoo 17 Database
How to Make a Duplicate of Your Odoo 17 DatabaseHow to Make a Duplicate of Your Odoo 17 Database
How to Make a Duplicate of Your Odoo 17 Database
 
Congestive Cardiac Failure..presentation
Congestive Cardiac Failure..presentationCongestive Cardiac Failure..presentation
Congestive Cardiac Failure..presentation
 
ICS 2208 Lecture Slide Notes for Topic 6
ICS 2208 Lecture Slide Notes for Topic 6ICS 2208 Lecture Slide Notes for Topic 6
ICS 2208 Lecture Slide Notes for Topic 6
 
Faculty Profile prashantha K EEE dept Sri Sairam college of Engineering
Faculty Profile prashantha K EEE dept Sri Sairam college of EngineeringFaculty Profile prashantha K EEE dept Sri Sairam college of Engineering
Faculty Profile prashantha K EEE dept Sri Sairam college of Engineering
 
Employablity presentation and Future Career Plan.pptx
Employablity presentation and Future Career Plan.pptxEmployablity presentation and Future Career Plan.pptx
Employablity presentation and Future Career Plan.pptx
 
prashanth updated resume 2024 for Teaching Profession
prashanth updated resume 2024 for Teaching Professionprashanth updated resume 2024 for Teaching Profession
prashanth updated resume 2024 for Teaching Profession
 
Comparative Literature in India by Amiya dev.pptx
Comparative Literature in India by Amiya dev.pptxComparative Literature in India by Amiya dev.pptx
Comparative Literature in India by Amiya dev.pptx
 
Mattingly "AI & Prompt Design" - Introduction to Machine Learning"
Mattingly "AI & Prompt Design" - Introduction to Machine Learning"Mattingly "AI & Prompt Design" - Introduction to Machine Learning"
Mattingly "AI & Prompt Design" - Introduction to Machine Learning"
 
Integumentary System SMP B. Pharm Sem I.ppt
Integumentary System SMP B. Pharm Sem I.pptIntegumentary System SMP B. Pharm Sem I.ppt
Integumentary System SMP B. Pharm Sem I.ppt
 
How to Fix XML SyntaxError in Odoo the 17
How to Fix XML SyntaxError in Odoo the 17How to Fix XML SyntaxError in Odoo the 17
How to Fix XML SyntaxError in Odoo the 17
 
ARTERIAL BLOOD GAS ANALYSIS........pptx
ARTERIAL BLOOD  GAS ANALYSIS........pptxARTERIAL BLOOD  GAS ANALYSIS........pptx
ARTERIAL BLOOD GAS ANALYSIS........pptx
 
Q-Factor General Quiz-7th April 2024, Quiz Club NITW
Q-Factor General Quiz-7th April 2024, Quiz Club NITWQ-Factor General Quiz-7th April 2024, Quiz Club NITW
Q-Factor General Quiz-7th April 2024, Quiz Club NITW
 
Indexing Structures in Database Management system.pdf
Indexing Structures in Database Management system.pdfIndexing Structures in Database Management system.pdf
Indexing Structures in Database Management system.pdf
 
Tree View Decoration Attribute in the Odoo 17
Tree View Decoration Attribute in the Odoo 17Tree View Decoration Attribute in the Odoo 17
Tree View Decoration Attribute in the Odoo 17
 
Paradigm shift in nursing research by RS MEHTA
Paradigm shift in nursing research by RS MEHTAParadigm shift in nursing research by RS MEHTA
Paradigm shift in nursing research by RS MEHTA
 
Mattingly "AI & Prompt Design: Large Language Models"
Mattingly "AI & Prompt Design: Large Language Models"Mattingly "AI & Prompt Design: Large Language Models"
Mattingly "AI & Prompt Design: Large Language Models"
 
Satirical Depths - A Study of Gabriel Okara's Poem - 'You Laughed and Laughed...
Satirical Depths - A Study of Gabriel Okara's Poem - 'You Laughed and Laughed...Satirical Depths - A Study of Gabriel Okara's Poem - 'You Laughed and Laughed...
Satirical Depths - A Study of Gabriel Okara's Poem - 'You Laughed and Laughed...
 
Sulphonamides, mechanisms and their uses
Sulphonamides, mechanisms and their usesSulphonamides, mechanisms and their uses
Sulphonamides, mechanisms and their uses
 
MS4 level being good citizen -imperative- (1) (1).pdf
MS4 level   being good citizen -imperative- (1) (1).pdfMS4 level   being good citizen -imperative- (1) (1).pdf
MS4 level being good citizen -imperative- (1) (1).pdf
 

Semiconductor Industry Tutorial

  • 1. The Semiconductor Industry Steve Blank sblank@kandranch.com V7 092021 Gordian Knot Center for National Security Innovation
  • 2. Table of Contents • Slides 3 -15 Semi Industry Overview • Slides 16 - 20 Trusted Foundry Program • Slides 21 - 37 Glossary and Sources • Slides 38 - 50 China Semi Industry Overview • Slides 51 - 76 China Foundries • Slides 7 7- 86 China IDMs • Slides 87 - 98 China Fabless • Slides 99 - 114 Fab construction 101 • Slides 115 - 198 Wafer Fab Equipment China • Slides 199 - 208 China Wafer Fab Equipment Needs • Slides 209-214 China Semi Acquisitions • Slides 215 - 226 Power Semiconductors • Slides 227 – 236 RF semiconductors • Slides 237-247 TSMC Fabs
  • 3. Companies and Governments That Use Chips in Systems End Products Semiconductor Ecosystem
  • 4. Chip Intellectual Property (IP) Cores • The design of a chip may be owned by a single company, or… • Some companies license their chip designs -as building blocks – called IP Cores - for wide use Chip IP Cores
  • 5. Electronic Design Automation (EDA) Tools Electronic Design Automation Tools • Engineers design chips using specialized EDA software • The industry is dominated by three U.S. vendors
  • 6. Chip Manufacturing Needs Specialized Materials Materials and Subsystems • Silicon wafers, crystal growing furnaces • Gases & fluids (Fluorine, Argon, Helium, Arsine, Phosphine, diboron...) • Photomasks and resists, top coats, CMP slurries • Wafer handling equipment, dicing • RF Power equipment
  • 7. Wafer Fab Equipment (WFE) Make the Chips Wafer Fab Equipment • These are the machines that manufacture the chips • Five companies dominate the industry - Applied, KLA, LAM, TEL, ASML • ASML - a Dutch company - supplies the most advanced lithography (EUV)
  • 8. “Fabless” Chip Companies Fabless chip companies • They create chip designs and send the designs to “foundries” (aka “fabs”) that manufacture them • They do not own Wafer Fab Equipment • They do use Chip IP and Electronic Design Software • They may use the chips exclusively in their own devices e.g. Apple, Nvidia…. • Or they may sell the chips to everyone e.g. AMD, Qualcomm…
  • 9. Integrated Device Manufacturers (IDMs) Integrated Device Manufacturers (IDMs) • IDMs design, manufacture, and sell chips • Three categories – Memory (e.g. Micron), Logic (e.g. Intel), Analog (Analog Devices) • They have their own “fabs” but may also use foundries
  • 10. Chip Foundries • Foundries make chips for others • They buy and integrate equipment from a variety of manufacturers • They design unique processes using this equipment to make the chips • But they don’t design chips • TSMC in Taiwan is the leader in logic
  • 11. Think of a Fab as Analogous to a Printing Plant Foundries and IDMs have Fabs Book Printing Chip Fabrication An author writes a book They use a word processor An engineer designs a chip They use EDA Tools They contract with a publisher who sends text to the printing plant It may print novels, tech manuals, histories, etc. They select a Fab appropriate for their type of Chip Memory, logic, RF, analog The plant buys raw materials Paper, ink The fab buys raw materials Silicon, chemicals, gases The plant buys printing machinery printing presses, binding, trimming The fab buys wafer fab equipment Etchers, deposition, lithography, testers, packaging The printing process - offset lithography Filming, stripping, blueprints, plate making, printing, binding, trim Chip manufacturing process - offset lithography Etching, diffusion, lithography, assembly, testing, packaging The plant turns out millions of copies The plant turns out millions of copies (Then think of its complexity as a continuous industrial processes like Oil & Gas, Chemical Reactors, uranium enrichment)
  • 12. The Flow - From an Idea to Silicon System Specification Architectural Design Functional & Logic Design Circuit Design Physical Design Physical Verification Layout Data (GDSII, OASIS) Mask Data Prep Design Engineers at Fabless or IDMs Using Electronic Design Automation Software Package and Test Chips Fabricate Chips Mask in Litho System Create Mask Blank Write Pattern on Blank At Photomask Maker Create Mask Set Create Pellicle In the Fab
  • 13. Three Types of Chip Factories (“Fabs”) Chip manufacturers Foundries IDMs
  • 14. Air Liquide, Linde, Cabot, JSR, MKS,UCTT, Ichor, Brooks, Jabil, Celestica, Dow Advanced Energy Wafers: Shin-Etsu, Sumco, GlobalWafer, Siltronic, SK Siltron They design the chips into products for consumers, companies and military systems Companies buy chips from Foundries and IDMs
  • 15. Air Liquide, Linde, Cabot, JSR, MKS,UCTT, Ichor, Brooks, Jabil, Celestica, Dow Advanced Energy Wafers: Shin-Etsu, Sumco, GlobalWafer, Siltronic, SK Siltron Products are Sold to End Customers – Military and Civilian End Products • iPhones • AI/ML • Datacenters • Networking (5G) • Weapons • …
  • 17. DoD Trusted Foundry program • DMEA is the program manager for the DoD Trusted Foundry program • Provide an assured "Chain of Custody" for both classified and unclassified ICs • Ensure that there will not be any reasonable threats related to disruption in supply • Prevent intentional or unintentional modification or tampering of the ICs • Protect the ICs from unauthorized attempts at reverse engineering, exposure of functionality or evaluation of their possible vulnerabilities
  • 18. The Trusted Access Program Office (TAPO) • Facilitates and administers the contracts and agreements with industry to provide US Government users with: • Leading edge foundry services including multi-project wafer runs, dedicated prototypes, and production in both high- and low-volume models • A library of standard IP blocks • Limited packaging and test services
  • 19. Trusted Foundries • BAE Systems • CREE • Defense Microelectronics Agency • Global Foundries • HRL Labs • M/A-Com • MIT Lincoln Labs • Northrup Grumman • ON Semiconductor • Quovro • Raytheon • Sandia • Skywater Technology • SRI • TSI Semiconductors As of May 2021 https://www.dmea.osd.mil/otherdocs/accreditedsuppliers.pdf
  • 21. Semi Industry Extra Info Steve Blank sblank@kandranch.com V3 071121
  • 22. 29 New Fabs Starting Construction in 2021-22 • 15 are foundries with capacities ranging from 30,000 to 220,000 200mm equivalent wafers per month • 4 are for memory chips with capacities of 100,000 to 400,000 200mm equivalent wafers per month
  • 23. Glossary -1 • APT (assembly, packaging, and test) • CAPEX (capital equipment expense) dollars spent on new equipment and buildings • CIS (CMOS image sensor) • Die unpackaged, bare square of silicon containing an integrated circuit that has been cut out of the wafer • EDA (electronic design automation) software tools engineers use to design chips • Fab is a factory that manufacturers chips • Fabless companies create chip designs and send them to “foundries” that manufacture them • Foundries manufactures (fabs) chips for others • IDM (integrated device manufacturer) designs, fabs, and sells their own chips (logic, memory, power, etc.) • Logic Devices – chips that do computing; microprocessors, microcontrollers, GPU’s, ASICs,
  • 24. Glossary - 2 • OSAT (outsourced semiconductor assembly & testing) • Lithography stencils patterns of integrated circuits onto silicon wafers • Memory Devices store information – DRAM and Flash memory • MEMS (micro-electromechanical system) sensors and actuators • Nanometer (nm) – one billionth of an inch • Nodes (technology node, process node, process technology) a specific manufacturing process and its design rules. Nodes 14nm, 10nm, 7nm, 5nm refer to a generation of chips made in a particular technology. The smaller the node the more transistors can fit on a wafer. • Wafer the silicon substrate used to make chips • Wafer Size: diameter of the silicon wafer used in manufacturing currently modern fabs are ~8” 200mm or ~12” 300mm • WPM (wafers per month) a measurement of the output of a fab
  • 25. Glossary 3– Fab Processes • Bunny Suit garment worn in a cleanroom to prevent skin and hair from contaminating the chip process • Chemical mechanical planarization (CMP) removes excess material on the wafer’s front surface and flattens the wafer • Cleanroom -specially constructed, enclosed spaces where chips are made. Classed by the # of particles per cubic foot • Diffusion (sometimes referred to as annealing) is a thermal treatment used to move dopants, or impurities, and make dopants introduced by ion implantation electrically active • Doping intentional introduction of impurities to change its electrical, properties • ion implantation is the most important doping method. Ions (positively or negatively charged atoms) of dopant chemicals (boron, arsenic, etc.) are accelerated in an electrical field and impacted into a wafer to penetrate its surface, changing the electrical characteristics of the material
  • 26. Glossary 4– Fab Processes • Epitaxy (deposition) deposits a layer of material (conductor, insulator or semiconductor) on a silicon wafer. The process can be repeated 40-100 times on a single wafer. There are different types of deposition processes: • Atomic Layer Deposition (ALD) layer-by-layer process that results in the deposition of thin films one atomic layer at a time • Chemical vapor deposition (CVD): deposits gaseous material onto the wafer in solid form (e.g., silicon dioxide, polysilicon) which react and/or decompose on the substrate surface through a high-temperature (500-900 degrees Celsius) process • Metal Organic Chemical Vapor Deposition (MOCVD) used in chip production of made from materials other than silicon (Gallium Nitride and Gallium Arsenide), LEDs, laser diodes, photonic chips, power/RF devices for radar, electronic warfare, communications and solar cells • Plasma-enhanced CVD (PECVD): is a variation of CVD using ionizing gases but does not require a high-temperature environment and is ideal for film deposition after the initial metal deposition without disturbing the existing metal layer • Oxidation: converts existing silicon into silicon dioxide (with the help of oxygen) in a furnace. Silicon dioxide is an insulator • Physical vapor deposition (PVD): Also called “sputtering”, uses physical/plasma bombardment of source material that releases atoms for deposit on the wafer surface and usually relates to metal deposition
  • 27. Glossary 5– Fab Processes • Etching A process for removing material • Dry etching - under vacuum (dry) typically using a plasma to generate gas- phase reactants. • liquid-phase (wet) etchants *sulfuric acid, nitric acid, phosphoric acid, or hydrofluoric acid) • Low Pressure Chemical Vapor Deposition (LPCVD) is a thermal process that deposits various films at low pressure • NA numerical aperture - a number that characterizes the range of angles over which the system can accept or emit light • OSAT (outsourced semiconductor assembly & testing) • Photomask contains the pattern of an integrated circuit
  • 28. Logic Chips These do Computing • High-end CPUs – microprocessors, microcontrollers, e.g. Intel x86 • U.S.: Intel, AMD • China: Loongson, Zhaoxin, Sunway, Phytium • GPUs (Graphic Processing Units) – used for AI,/ML, Bitcoin, graphics • U.S.: Nvidia , AMD • China: Jingjia Micro • FPGAs (Field Programable Gate Arrays) – reprogrammable processors • U.S.: Xilinx, Intel, Lattice, Microchip, Achronix.Flex Logic • China: Efinix, Gowin, Shenzhen Pango • AI ASICs (Application Specific ICs) – run specific AI algorithms • U.S.: Google, Facebook, Cerebras, Tesla (U.S.), • China: HiSilicon. Cambricon, Horizon Robotics , Intellifusion , …
  • 29. Memory Chips These store information • DRAM Memory chips – requires power to store data • Samsung (South Korea), SK Hynix (South Korea), Micron (U.S.), Nanya (Taiwan), Winbond (Taiwan), Powerchip (Taiwan), ChangXin (China) • NAND Flash – retains data when power is off • Samsung (South Korea), Toshiba (Japan), Western Digital (U.S.), Micron (U.S.), Intel (U.S.), SK Hynix (South Korea), YMTC (China)
  • 30. Who Are IDMs? (1) • Logic chips – microprocessors, microcontrollers, e.g. Intel x86 • Intel (U.S.), Renesas (Japan), STMicroelectronics (Switzerland), Microchip (U.S.), NXP (U.S./Netherlands), Toshiba (Japan), … • Memory Chips – stores data • SK Hynix (South Korea), Samsung (South Korea), Micron (U.S.), Toshiba (Japan), Western Digital (U.S.), Intel (U.S.), YMTC (China), ChangXin (China),… • Analog Chips • Texas Instruments (U.S.), ON (U.S.), SiEn (China), ASMC (China), Bosch (Germany), STMicroelectronics (Switzerland), TowerJazz (Japan), …. | Applied Materials Confidential 19 Semiconductor Ecosystem Wafer Fab Materials & Subsystems Wafer Fab Equipment
  • 31. Who Are IDMs?(2) • Optoelectronics Chips • Sony (Japan), Nichia (Japan), Samsung (South Korea), Osram (Germany), HC SemiTek (China), Epistar (Taiwan), Sanan (China), Aucksun (China), Changelight (China), … • Sensors • Qorvo (U.S.), Broadcom (U.S.), Seagate (U.S.), Texas Instruments (U.S.), OmniVision (China), SMIC (China), • Discrete Chips - Infineon (Germany), STMicroelectronics • (Switzerland), Hangzhou (China), ON (U.S.), Mitsubishi (Japan), AOS (U.S.), CR (China), Yangzhou (China), | Applied Materials Confidential 19 Semiconductor Ecosystem Wafer Fab Materials & Subsystems Wafer Fab Equipment
  • 32. IDM/Foundry Logic Node Roadmap Global Foundries
  • 33. Only 2 Foundries Are At the Leading Edge Node   2003 17 90nm 2001 17 130nm 2005 14 65nm 2007 12 45nm 2009 9 32nm 2012 6 22nm 2015 4 14nm 2017 3 10nm 2020 2 7nm Year in Production # of Companies Node
  • 34. Does Node Size Matter? • While SMIC and HSMC’s 14nm technology lags behind TSMC’s 5nm capability, there is nothing computationally a 5nm chip can do that a 14nm chip cannot do • The key difference, is that the 14nm chip is bigger, uses up more silicon and may consume more power relative to its performance • In cases where its size is not a major constraint, e.g. data centers, autonomous vehicles, big data and AI in cloud computing, China has what it already needs • However, these foundries won’t be able to deliver high-end, high- performance chips that will go into, the newest 5G-smartphones or drone
  • 35. Shrinking Nodes – Why Is It Important? cost per die will fall after production yields reach pre-(size)-transition levels. The figure below illustrates the effects of theoretical wafer linewidth shrinkage. When linewidth halves from 0.5 microns to 0.25 microns, the wafer can theoretically hold a quadrupled (22) number of dies. In terms of cost savings, a quadrupled number of dies would lead to a 75% per-DRAM cost reduction in a typical 300mm DRAM wafer, excluding additional mask and depreciation costs. Figure 48: Illustration of wafer linewidth shrinkage Source: Deutsche Bank Smaller die sizes also improve production yields (i.e., the number of acceptable, functional dies as a percentage of total dies on a wafer) as smaller dies are less susceptible to contaminations during manufacturing (given a static amount of contamination, the smaller the die size, the lower the probability that contamination will affect a die). Companies typically reduce contamination and improve yield through manufacturing environment control, employee training, • Can put more dies (chips) on the same size wafer = lower cost • Can put more transistors on one chip = making them more complex
  • 36. Readings/Sources Center For Security and Emerging Technology - read all the semi papers Semiconductor Manufacturing Handbook - Hwaiyu Geng Credit Suisse - read all the analyst reports on semiconductors Goldman Sachs - read all the analyst reports on semiconductors Financial Times - read all the China articles on semiconductors Brookings Institute - read semi report Congressional Research Service - Michaela Platzer, John Sargent SEMI – Fab Construction Monitor Database
  • 37. Semi Analysts – Sources *** Saif M. Khan Director for Tech & National Security at National Security Council. *** Credit Suisse China Semi Analysts: Randy Abrams, Chaolien Tseng , John W. Pitzer Goldman Sachs China Semi Analysts: Allen Chang, Jin Guo, Lynn Luo, Verena Jeng Center For Security and Emerging Technology - James Lewis, Alexander Mann , Dahlia Peterson , Carrick Flynn Bernstein China Semi Analysts: Mark Li, Hanxu Wang, Edward Hou, Power/RF: Stacy A. Rasgon KKR - Vance Serchuk Brookings Institute Christopher A. Thomas Financial Times China Semi Reporters: Cheng Ting-Fang and Lauly Li, Nikkei Staff Writers Accenture China Semi Analysts: Syed Alam, Sam Baker Congressional Research Service - Michaela Platzer - John Sargent Nomura China Semi Analysts: Donnie Teng, Aaron Jeng Jefferies China Semi Analyst: Mark Lipacis
  • 39. Semiconductors Are China’s Biggest Imports 2020 Trade Balance More Than Oil
  • 40. China Semi Status • Success in LEDs, low-power processors, sensors, discrete semiconductor devices, and in assembly, packaging, and test (APT) • Behind in multi-core processors and memory devices, semiconductor design tools and equipment, especially at the smaller, leading-edge process nodes • Lags somewhat in analog / mixed signal ICs and RF (radio frequency) front-end components for cellphones such as power amplifiers and RF filters • Full self-sufficiency in all of these will require China to produce even more advanced semiconductor equipment than what is required at the 28 nm CMOS node
  • 41. China National IC Fund Fuels Their Ecosystem 20 January 2021 Figure 47: China IC fund major semiconducto Source: Company data, Credit Suisse estimates In addition to the listed companies, the National IC private companies in China. We believe these highe strategic sectors including foundry (SMIC fab JVs, Kingston’s Payton back-end subsidiary), equipmen Priced 1/13/21 Company Listed companies Ticker Description 03 NSIG 688126.SS Bare Wafer 5 AMEC 688012.SS Semi equipment SMIC 0981.HK Foundry 7 JCET 600584.SS Back-end 3 Sanan 600703.SS LED/RF Foundry 4 Naura 002371.SZ Semi Equipment Hua Hong 1347.HK Foundry 2 Gigadevice 603986.SS MCU/NOR Tongfu 002156.SZ Back-end 2 CR Micro 688396.SS Analog/Foundry Goodix 603160.SS Sensor & Touch IC BDStar Navigation 002151.SZ GPS ICs/Modules 5 Verisilicon 688521.SS IP & Design Service WLCSP 603005.SS Back-end Sai Microelectronics 300456.SZ MEMs/GaN Process Jingjia Micro 300474.SZ GPUs Anji Micro 688019.SS CMP/Resist Yoke Technology 002409.SZ Semi/Display Material Rockchip 603893.SS Consumer/IoT APs Ingenic 300223.SZ MCU, video, memory Hangzhou Changchuan 300604.SZ IC Test Goke Micro 300672.SZ STB, SSD, IoT SOCs 2 Wanye 600641.SS Inv. Fund / Ion Implant Wuxi Taiji Industry 600667.SS Back-end & Chem fiber 1 Ninestar 002180.SZ Printer/NFC ICs 3 Wuxi Chipown 688508.SS Analog and LED ICs Wingtech 600745.SS ODM/Nexperia Analog NavInfo 002405.SZ Auto map, service, ICs 1 3peak Incorporated 688536.SH Analog ICs Expressif 688018.SS Wifi/Bluetooth ICs Beken 603068.SS BT/Wifi/Audio ICs Chipsea 688595.SS MCU, BT/Wifi ICs Allwinner 300458.SZ Consumer/IoT APs MEMSensing 688286.SS MEMs devices 20 January 2021 Figure 47: China IC fund major semiconductor holdings o Source: Company data, Credit Suisse estimates In addition to the listed companies, the National IC fund is also b private companies in China. We believe these higher profile com strategic sectors including foundry (SMIC fab JVs, Huali), memo Kingston’s Payton back-end subsidiary), equipment (ACM), mob Priced 1/13/21 Company Listed companies Ticker Description 03/31/20 06/30/20 NSIG 688126.SS Bare Wafer 567.0 567.0 AMEC 688012.SS Semi equipment 93.3 93.3 SMIC 0981.HK Foundry 797.1 797.1 JCET 600584.SS Back-end 304.5 304.5 Sanan 600703.SS LED/RF Foundry 460.9 460.9 Naura 002371.SZ Semi Equipment 49.2 49.2 Hua Hong 1347.HK Foundry 242.4 242.4 Gigadevice 603986.SS MCU/NOR 30.0 39.2 Tongfu 002156.SZ Back-end 250.6 239.2 CR Micro 688396.SS Analog/Foundry 34.2 78.1 Goodix 603160.SS Sensor & Touch IC 25.6 25.6 BDStar Navigation 002151.SZ GPS ICs/Modules 58.8 58.8 Verisilicon 688521.SS IP & Design Service 34.7 34.7 WLCSP 603005.SS Back-end 21.7 27.1 Sai Microelectronics 300456.SZ MEMs/GaN Process 88.4 88.4 Jingjia Micro 300474.SZ GPUs 27.5 27.5 Anji Micro 688019.SS CMP/Resist 6.1 6.1 Yoke Technology 002409.SZ Semi/Display Material 26.5 26.5 Rockchip 603893.SS Consumer/IoT APs 25.9 25.9 Ingenic 300223.SZ MCU, video, memory 0.0 16.8 Hangzhou Changchuan 300604.SZ IC Test 31.0 31.0 Goke Micro 300672.SZ STB, SSD, IoT SOCs 26.3 26.3 Wanye 600641.SS Inv. Fund / Ion Implant 56.4 56.4 Wuxi Taiji Industry 600667.SS Back-end & Chem fiber 130.0 130.0 Ninestar 002180.SZ Printer/NFC ICs 32.1 32.1 Wuxi Chipown 688508.SS Analog and LED ICs 7.5 7.5 Wingtech 600745.SS ODM/Nexperia Analog 4.0 3.1 NavInfo 002405.SZ Auto map, service, ICs 13.2 13.2 3peak Incorporated 688536.SH Analog ICs 0.3 0.3 Expressif 688018.SS Wifi/Bluetooth ICs 1.2 1.2 Beken 603068.SS BT/Wifi/Audio ICs 1.4 1.4 Chipsea 688595.SS MCU, BT/Wifi ICs 1.2 1.2 Allwinner 300458.SZ Consumer/IoT APs 2.0 2.0 MEMSensing 688286.SS MEMs devices 0.4 0.4 Holding (s China IC Fund Public Chip Companies • a.k.a. the Big Fund or the China IC Fund has invested directly or indirectly in more than 60 China chip companies • $20 billion in 2014 • $29 billion in 2019 Global Semiconductors Sector 23 Figure 47: China IC fund major semiconductor holdings of listed companies, holdings trimmed on companies in orange and blue Source: Company data, Credit Suisse estimates In addition to the listed companies, the National IC fund is also backing a number of high profile private companies in China. We believe these higher profile companies span many of the strategic sectors including foundry (SMIC fab JVs, Huali), memory (YMTC, CXMT, Puya, and Kingston’s Payton back-end subsidiary), equipment (ACM), mobile (UniSOC), and IoT (ApexMic, KT, Bestechnic) and display/imaging (Galaxycore). Figure 48: China IC fund holdings of high profile China private companies Source: Company data, Credit Suisse Priced 1/13/21 Company IC Fund Total 2020E Price/ Listed companies Ticker Description 03/31/20 06/30/20 09/30/20 12/04/20 03/31/20 06/30/20 09/30/20 12/04/20 Holding ($) Market cap Sales Sales NSIG 688126.SS Bare Wafer 567.0 567.0 567.0 567.0 30.5% 22.9% 22.9% 22.9% $2,799 $12,243 $302 40.5 AMEC 688012.SS Semi equipment 93.3 93.3 93.3 93.3 17.5% 17.5% 17.5% 17.5% $2,282 $13,079 $361 36.3 SMIC 0981.HK Foundry 797.1 797.1 797.1 797.1 15.5% 14.0% 10.4% 10.4% $2,081 $20,099 $4,214 4.8 JCET 600584.SS Back-end 304.5 304.5 304.5 288.5 19.0% 19.0% 19.0% 18.0% $2,073 $11,516 $3,873 3.0 Sanan 600703.SS LED/RF Foundry 460.9 460.9 416.1 379.4 11.3% 11.3% 9.3% 8.5% $2,058 $24,297 $1,312 18.5 Naura 002371.SZ Semi Equipment 49.2 49.2 44.3 44.3 9.9% 9.9% 8.9% 8.9% $1,531 $17,168 $853 20.1 Hua Hong 1347.HK Foundry 242.4 242.4 242.4 242.4 18.8% 18.7% 18.7% 18.7% $1,331 $7,114 $951 7.5 Gigadevice 603986.SS MCU/NOR 30.0 39.2 34.5 34.5 9.3% 8.3% 7.3% 7.3% $1,151 $15,719 $708 22.2 Tongfu 002156.SZ Back-end 250.6 239.2 227.7 227.7 21.7% 20.7% 19.7% 17.1% $998 $5,827 $1,596 3.7 CR Micro 688396.SS Analog/Foundry 34.2 78.1 78.1 78.1 2.9% 6.4% 6.4% 6.4% $832 $12,942 $1,046 12.4 Goodix 603160.SS Sensor & Touch IC 25.6 25.6 21.3 21.1 5.6% 5.6% 4.7% 4.6% $517 $11,216 $1,098 10.2 BDStar Navigation 002151.SZ GPS ICs/Modules 58.8 58.8 49.0 49.0 12.0% 12.0% 9.6% 9.6% $401 $4,161 $544 7.7 Verisilicon 688521.SS IP & Design Service 34.7 34.7 34.7 34.7 8.0% 8.0% 7.2% 7.2% $374 $5,218 $252 20.7 WLCSP 603005.SS Back-end 21.7 27.1 27.1 27.1 9.4% 8.4% 8.4% 8.4% $332 $3,931 $188 20.9 Sai Microelectronics 300456.SZ MEMs/GaN Process 88.4 88.4 88.4 88.4 13.8% 13.8% 13.8% 13.8% $314 $2,268 $123 18.4 Jingjia Micro 300474.SZ GPUs 27.5 27.5 27.5 27.5 9.1% 9.1% 9.1% 9.1% $302 $3,306 $120 27.5 Anji Micro 688019.SS CMP/Resist 6.1 6.1 6.1 6.1 11.6% 11.6% 11.6% 11.6% $269 $2,329 $61 38.0 Yoke Technology 002409.SZ Semi/Display Material 26.5 26.5 26.5 26.5 5.7% 5.7% 5.7% 5.7% $267 $4,666 $419 11.1 Rockchip 603893.SS Consumer/IoT APs 25.9 25.9 25.9 25.9 6.3% 6.3% 6.3% 6.3% $252 $4,003 $278 14.4 Ingenic 300223.SZ MCU, video, memory 0.0 16.8 16.8 16.8 0.0% 3.7% 3.6% 3.6% $212 $5,904 $73 80.6 Hangzhou Changchuan 300604.SZ IC Test 31.0 31.0 31.0 31.0 9.9% 9.9% 9.9% 9.9% $180 $1,823 $120 15.2 Goke Micro 300672.SZ STB, SSD, IoT SOCs 26.3 26.3 26.3 26.3 14.6% 14.6% 14.6% 14.6% $177 $1,212 $113 10.7 Wanye 600641.SS Inv. Fund / Ion Implant 56.4 56.4 67.7 67.7 7.0% 7.0% 7.1% 7.1% $167 $2,357 $182 12.9 Wuxi Taiji Industry 600667.SS Back-end & Chem fiber 130.0 130.0 108.9 108.9 6.2% 6.2% 5.2% 5.2% $160 $3,087 $2,588 1.2 Ninestar 002180.SZ Printer/NFC ICs 32.1 32.1 32.1 32.1 3.0% 3.0% 3.0% 3.0% $128 $4,248 $3,120 1.4 Wuxi Chipown 688508.SS Analog and LED ICs 7.5 7.5 7.5 7.5 8.9% 8.9% 6.7% 6.7% $93 $1,400 $64 21.8 Wingtech 600745.SS ODM/Nexperia Analog 4.0 3.1 unknown unknown 0.4% 0.3% unknown unknown $61 $22,255 $9,977 2.2 NavInfo 002405.SZ Auto map, service, ICs 13.2 13.2 11.0 11.0 0.7% 0.7% 0.6% 0.6% $27 $4,758 $372 12.8 3peak Incorporated 688536.SH Analog ICs 0.3 0.3 unknown unknown 0.4% 0.4% unknown unknown $21 $4,893 $93 52.5 Expressif 688018.SS Wifi/Bluetooth ICs 1.2 1.2 0.8 0.8 1.5% 1.5% 1.0% 1.0% $17 $1,685 $128 13.2 Beken 603068.SS BT/Wifi/Audio ICs 1.4 1.4 1.4 1.4 0.7% 0.7% 0.7% 0.7% $15 $1,968 $182 10.8 Chipsea 688595.SS MCU, BT/Wifi ICs 1.2 1.2 1.2 1.2 1.6% 1.6% 1.2% 1.2% $11 $885 $59 15.1 Allwinner 300458.SZ Consumer/IoT APs 2.0 2.0 unknown unknown 0.6% 0.6% unknown unknown $10 $1,704 $250 6.8 MEMSensing 688286.SS MEMs devices 0.4 0.4 0.4 0.4 1.1% 1.1% 0.8% 0.8% $9 $1,097 $55 20.0 8.9% $21,449 $240,378 $35,676 6.7 Holding % (ownership %) Holding (shares, mn) As of 12/04 Company Non-listed companies Status Description 12/31/19 03/31/20 06/30/20 09/30/20 12/04/20 YMTC Private NAND Flash 49.0% HLMC Private 12" Foundry 39.2% Payton Memory (JV with Kaifa) Private Memory Back-end 31.1% SMIC 2020 Beijing JV Private Foundry 24.5% UniSOC Private, to IPO Mobile AP and RF ICs 15.3% 15.3% 19.4% 19.4% 19.4% SMIC South JV Private Foundry 14.6% 14.6% 14.6% Innotron Memory/CXMT Private DRAM 14.1% SMIC Ningbo JV Private RF SOI/Analog design 13.5% APEXMIC, subsidiary of Ninestar Private MCU and Printer ICs 7.9% KT Micro Private, to IPO RF and audio SOCs 1.6% 1.5% 1.5% 1.5% 1.5% Puya Semiconductor Private, to IPO NOR and EEPROM 0.3% 0.3% 0.3% 0.3% 0.3% Galaxycore IPO approved Driver IC and CIS 0.3% 0.3% 0.3% 0.3% 0.3% Bestechnic (Shanghai) IPO approved Bluetooth/Audio SOCs 0.2% 0.2% 0.2% 0.2% 0.2% ACM Research (shanghai) IPO approved Semi Equipment 0.1% 0.1% 0.1% 0.1% 0.1% Holding % (ownership %) China’s IC fund has taken major stakes in many of China’s leading IC companies Provided for the exclusive use of Brett Miller at Applied Materials, Inc. on 20-Jan-2021 06:17 PM. China IC Fund Private Chip Companies
  • 42. Chip IP Cores Chinese Chip IP Cores (Intellectual Property) • Emerging IP Core market • Mostly use international cores
  • 43. Electronic Design Automation Tools Chinese EDA Tools Electronic Design Automation • Mostly use U.S. vendors; Synopsys, Cadence, Mentor • Note: Synopsys, is partnered with the Nanjing Semiconductor University • Hyperform is the Chinese incumbent • A new generation of Chinese EDA startups • X-Epic, Hejian Industrial Software, Xpeedic, Semitronix and Amedac • Synopsys invested in Amedac Chip IP Hyperform
  • 44. Substrates: Access, Fastprint, SCC Wafers: NSIG, Tainjin Zhonghuan, Hangzhou Lion Grinm, Singui Photoresists: Kempur, Ruihong Sputtering: KFMI CMP Slurry: Anji Chemicals: Runma, Jingru, Huayi, Sinyang Materials and Subsystems Electronic Design Automation Tools Specialized Materials for Semi Manufacturing • China has indigenous sources of specialized materials Hyperform
  • 45. Air Materials and Subsystems Electronic Design Automation Tools Chinese Wafer Fab Equipment (WFE) Suppliers • China is dependent on foreign WFE for leading edge nodes • Rapidly trying to build local competency • Five-10 years behind but catching up is a national effort Wafer Fab Equipment Piotech
  • 46. Chinese “Fabless” Chip Companies Electronic Design Automation Tools Fabless Chip Companies Zhaoxin Sunway CPUs/ Data Center AI/ML/Bitcoin YTMC Memory • Fabless semis are a vibrant, large and well funded segment in China • Gov’t is encouraging them to set up their own fabs Chip IP Cores
  • 47. Chinese Integrated Device Manufacturers (IDMs) Materials and Subsystems Electronic Design Automation Tools Wafer Fab Equipment Fabless Chip Companies Memory and Logic - Integrated Device Manufacturers (IDMs) SiEn (QingDao) YTMC
  • 48. Chinese Chip Foundries (“Fabs”) Fabless Chip Companies Wafer Fab Equipment Memory/Logic - Integrated Device Manufacturers Chip Foundries • Foundries are heavily reliant on fab equipment from the U.S., Japan, and Netherlands • China’s subsidizes it chip fabs by as much as 40 percent of revenues. • Hired 1,000’s of engineers from Taiwan’s chip industry • Massive IP theft from Taiwan and US semi industry Piotech Zhaoxin Sunway YTMC Huali SiEn (QingDao) YTMC
  • 49. China Self Sufficiency Matrix SMIC and Hua Hong (which are China’s two big foundries) spent more combined on capex than they gen in revenues. Government owns 33% of SMIC as example. The epicenter of this Chaebol is the govern and usually Tsinghua is the visible investing entity. What we are witnessing is a virtual new china c infrastructure pop up over night with a new local company at almost every single point in the supply cha China Self Sufficiency Matrix
  • 50. Chinese Companies on Commerce Dept Entity List 20 January 2021 Figure 65: Timeline of Chinese companies added to the US Commerce Department Entity List Source: US Commerce Department, Credit Suisse US now trying to re-build its own supply chain The US is also now trying to correct years of under-investment in its own semiconductor fabrication and assembly following the lessons from the pandemic from having over-reliance on foreign suppliers for key medical gear. With the military rivalry with China growing and economic Research institutes, universities, and tech companies: CASC; CASIC; CAEP; NUDT; BUAA; Etc. 5G and communic ation tech: ZTE (already removed from the list) 5G and communic ation tech: Huawei Technolog y and its 68 affiliates Supercomputer: Sugon and affiliates; Higon; Wuxi Jiangnan Institute of Computing Tech; Chengdu Haiguang IC; Chengdu Haiguang Microelectronics Added another 46 affiliates of Huawei Technology 28 entities in surveillance and AI including: Hikvision Dahua iFlytek SenseTime Megvii Yitu 24 entities alleged ‘military end- use’ and 9 alleged human rights abuses in XUAR Qihoo 360; Cloudwalk; FiberHome; NetPosa; Intellifusion; HEU 11 entities alleged human rights abuses in XUAR: Nanchang O-film Tech; BGI Added another 38 affiliates of Huawei Technology 24 entities for building military islands in the South China Sea: China Communication Construction Company; China Shipbuilding Group; CETC Apr 2018 May 2019 Jun 2019 Aug 2019 Since launch Oct 2019 May 2020 Jul 2020 Aug 2020 Dec 2020 Added 77 entities to the list including SMIC and DJI SMIC & 10 affiliates AGCU ScienTech, China National Scientific Instruments, DJI, and Kuang-Chi - Surveillance China Comm. Construction and 4 others for maritime claims 25 academies associated with China State Shipbuilding Hangzhou Hualan Microelectronics Co. Kyland Technology Co., Ltd.; along with Kyland subsidiaries Armyfly. Kindroid,Shanghai High- Performance Integrated Circuit Design Center. Sunway Microelectronics,. National Supercomputing Center Jinan, National Supercomputing Center Shenzhen, National Supercomputing Center Wuxi, National Supercomputing Center Zhengzhou Jul 2021
  • 52. Foundry • A foundry manufactures (fabs) chips for others based on customer’s designs • A foundry does not design its own products • A foundry focuses its resources on manufacturing process technologies, and needs to migrate its technologies every few years • Fabless companies are the main customers for foundries • Some IDMs also outsource part of their products to foundries • Key names in China: SMIC, Hua Hong
  • 53. China Fabs as of Feb 2021 Source: Semi Foundries
  • 54. Comparison of Chinese Foundaries Jan 2021
  • 55. China Fab Capacity wafer demand from unique customers that have qualified product, all subject to having effective and competitive capacity. SMIC has available government commitments for it to have another 100k Beijing JV 28/40nm capacity, 70k Shanghai JV 14nm capacity as well as additional 8” capacity in Tianjin. Our tracker of China capacity shows 540k WPM of capacity built out by foundries in China, out of the 1.123 mn available capacity planned, a level that would match TSMC’s capacity if all built out. Figure 81: China has sizeable committed capacity ramping each year Source: Company data, Credit Suisse estimates Technology gap remains high: Technology and scale shows up as a sizeable gap both on mature and leading nodes. SMIC has done quite well to fully load 8" and its mature 12” capacity with specialty and second wave applications (fingerprint IC, smart cards, power management, image sensors, NOR flash, RF transceivers) but is still only 20% of TSMC's Company Location Wafer Size Node 4Q17 4Q18 4Q19 4Q20 Max Capacity Status SMIC Multiple Sites 8" 350-90nm 101.8 112.6 101.3 110.7 150.0 Mature SMIC Beijing 12" 90nm-28nm 46.0 42.0 52.0 52.0 52.0 Fully built SMIC Beijing 2A JV 12" 40nm 29.0 33.0 41.0 56.0 70.0 Ramping SMIC Beijing New Phase 12" 28nm 0.0 0.0 0.0 0.0 100.0 Announced SMIC Shanghai JV 12" 14nm 0.0 0.0 3.0 7.0 70.0 Ramping SMIC capacity build-out in China: 176.8 187.6 197.3 225.7 442.0 ASMC/GTA Shanghai 5/6/8" 500-350nm 16.0 17.0 18.0 19.6 26.7 Mature ASMC/GTA Shanghai 12" 65nm BCD 0.0 0.0 0.0 3.0 50.0 Planning CanSemi Guangzhou 8" 180-90nm 0.0 0.0 16.0 20.0 24.0 Started 2019 CR Micro Qongqing 8" 350-180nm 0.0 0.0 0.0 0.0 30.0 Planning Hua Hong Shanghai F1-F3 8" 350-90nm 74.7 77.3 79.1 80.4 90.0 Mature Hua Hong Wuxi F7 12" 90-65nm 0.0 0.0 10.0 20.0 80.0 Planning Huali Shanghai F5 12" 55-40nm 35.0 35.0 35.0 40.0 40.0 Fully built Huali Shanghai F6 12" 28-14nm 0.0 0.0 0.0 10.0 40.0 Ramping Powerchip Hefei 12" 90-65nm 0.0 6.0 15.0 25.0 40.0 Started 2018 SiEn Qingdao 8" 350-110nm 0.0 0.0 0.0 0.0 35.6 Planning SiEn Qingdao 12" 90-28nm 0.0 0.0 0.0 0.0 40.0 Planning TSMC Nanjing 12" 16nm 0.0 10.0 10.0 20.0 80.0 Started 2Q18 UMC Xiaman: F12X 12" 40-28nm 11.5 17.0 17.0 17.0 25.0 Ramping XMC Wuhan 12" 90-45nm 30.0 40.0 50.0 60.0 80.0 Ramping Other foundries capacity build out in China 167.2 202.3 250.1 315.0 681.2 Total capacity build out in China 343.9 389.9 447.4 540.7 1123.2 YoY capacity growth 13% 15% 21% phases. Foundries Source: Company data, Credit Suisse estimates Jan 2021
  • 56. Chinese Fab Expansion The fabs are able to be funded despite low returns and along period to break even, prompting risk of oversupply. We track plans on paper to grow leading China fabs from 700k to 1.8 mn 12” WPM capacity, the equivalent of adding a TSMC (55% foundry share) to the industry’s capacity if all is built out. Fortunately, most foundry projects are built in phases to meet coming demand in the next year rather than speculatively, to sit idle without customers. Figure 55: China has a number of domestic and foreign owned fabs in planning Origin Country Company Fab Site Wafer size Segment Node (nm) Installed (WPM) Next phase Final Capacity China Can Semi Guangzhou 8" Foundry 180-90nm 20,000 4,000 24,000 China CR Micro Qongqing 8" IDM 0.35-0.18um 0 30,000 30,000 China CXMT Hefei 12" DRAM 19nm 20,000 30,000 125,000 China Fujian Jinhua Fujian 12" DRAM 25nm On Hold 0 60,000 China GTA Semi/ASMC Shanghai 8" Foundry 0.35-0.18um 10,000 60,000 60,000 China GTA Semi/ASMC Shanghai 12" Foundry 65nm BCD 3,000 47,000 50,000 China Hua Hong JV Wuxi 12" Foundry 90-55nm 20,000 20,000 80,000 China Huali Micro Pudong 12" Foundry 28-14nm 60,000 20,000 80,000 China SiEn Qingdao 8" Foundry 110nm+ 0 0 80,000 China SiEn Qingdao 12" Foundry 55/40nm 0 0 40,000 China SMIC JV Beijing 12" Foundry 40/28nm 56,000 14,000 170,000 China SMIC JV Shanghai 12" Foundry 14nm 7,000 8,000 35,000 China XMC Wuhan 12" Foundry 90-45nm 60,000 20,000 80,000 China YMTC Wuhan 12" 3D NAND 3D NAND 20,000 30,000 300,000 Upcoming fabs by domestic companies 236,000 219,000 1,035,000 US Alpha & Omega Chongqing 8" Discretes 130nm+ 25,000 0 25,000 US Alpha & Omega Chongqing 12" Discretes 130nm+ 10,000 10,000 40,000 Korea Hynix Wuxi C3 12" DRAM 1x nm 140,000 20,000 170,000 US Intel Dalian 12" NAND 3D NAND 85,000 15,000 100,000 Taiwan Powerchip Nexchip Hefei 12" Logic 90nm 25,000 15,000 40,000 Korea Samsung Xian 12" 3D NAND 3D NAND 150,000 110,000 260,000 Taiwan TSMC Nanjing 12" Foundry 16nm 20,000 20,000 80,000 anufacturing ity through JV fabs ributes up to half of reciation burden eration with the JV me to assume a nclude SMIC’s JV 12” China has over 1mn wafers capacity planned, similar to TSMC’s capacity base, with further 740k from overseas suppliers—though some, not all, would get built out in phases. Jan 2021
  • 57. China – Aggressive Fab Development estimates i   Aggressive fab expansion plans drive strong SPE demand Accelerating fab capacity expansion Chart 12 - China 300mm Wafer Capacity Estimates 2019-2026 . 173 244 309 387 487 597 717 822 30 41 55 70 75 85 105 130 220 290 375 455 530 605 680 715 170 200 230 270 320 390 470 550 593 775 969 1,182 1,412 1,677 1,972 2,217 0 500 1000 1500 2000 2500 2019 2020E 2021E 2022E 2023E 2024E 2025E 2026E 12 Mature 12 Advanced 3D Nand DRAM (k wpm) Source: Jefferies estimates SPE capex Chart 13 - . Source: SEM   Foundries: Strong demand for mature nodes driven by connect
  • 58. Foundries China Taiwan TSMC UMC Vanguard South Korea Samsung DB HiTech Other Global Foundries TowerJazz Intel? Many China fabless IDMs rely on either Huali or SMIC or both to produce chips After the US restrictions on SMIC in September 2020, China’s fabless may rely on Huali even more SMIC Huali Hua Hong XMC CanSemi
  • 59. TSMC in China • TSMC is also building out a 12” fab in Nanjing China in four phases. • The first of four phases is capable of ramping up to 20k WPM at an initial cost of US$3 bn • TSMC will install new 28-nanometer production lines in Nanjing, which are slated to begin mass-production in 2023 • The company also has a design service center to support local Chinese IC design companies • This will allow TSMC to stay competitive addressing local customers for its 16nm FinFET process as SMIC starts its Shanghai line for its 14nm in 4Q19 • TSMC also leverages its design service companies in Taiwan to bring in China CPU and AI companies to fab on its advanced process Foundry
  • 60. SMIC • Fabs: • Beijing: a wholly-owned 12-inch fab (0.18μm-55nm), a majority-owned 12-inch fab (65nm-24nm); • Shanghai: an 8-inch fab (0.35μm-90nm), a 12-inch fab (14nm and below), a majority-owned 12-inch advanced-nodes fab (14nm and below); • Tianjin: an 8-inch fab (0.35μm-90nm); • Shenzhen: an 8-inch fab (0.35μm-0.15μm) • SMIC is in a high investment phase, migrating its process to 14nm and 7nm • started mass production of 28nm in 2015 and 14nm in 2019 • Hired Dr. Mong-Song Liang, formerly at TSMC and Samsung and Shang-Yi Chiang ex-TSMC R&D head (joining) SMIC as Vice-Chairman, to improve foundry and R&D • Chinese government owns 33% of SMIC • US placed SMIC on its restricted military use list and also entity list for cooperating with the China military • The restrictions are only on a case-by-case basis on mature nodes and only presumption of denial on tools uniquely used at 10nm and below Foundry https://www.smics.com/en/
  • 61. SMIC and FinFETs • At 20nm, traditional planar transistors run out of steam • IoT and automotive applications do not require leading-edge nodes • FinFETs are faster and use lower power than planar transistors • they are also harder and more expensive to manufacture • GlobalFoundries, Samsung, TSMC, Intel and UMC moved to finFETs at 16nm/14nm • SMIC SMIC, Huawei, Imec and Qualcomm formed a joint R&D chip technology venture in China with plans to develop a 14nm finFET process • SMIC in 2019 shipped China’s first 14nm finFETs
  • 62. Huali Microelectronics • Huali operates two 12-inch fabs in Shanghai • first 12” fab at 40k WPM mostly logic and CIS on 40-55-90nm • second 12” fab running about 10k-15k WPM shipments with capacity for 40k WPM, covering 28nm-14nm nodes for more advanced logic and development of FinFET transistors. • Huahong Semi said before it has the right to merge Huali under the major shareholder approval • Linde has built a new air separation plant to supply nitrogen, high purity oxygen, argon, hydrogen and helium to the 12-inch wafer line Foundry http://www.hlmc.cn
  • 63. XMC • Founded in 2006, an affiliate of Tsinghua Unigroup • operates two 12-inch fabs in Wuhan with total capacity of 135k WPM (8” equivalent) • covering 90nm-45nm nodes, • NOR flash, CIS (CMOS image sensor), RF (radio frequency) and logic Foundry https://www.xmcwh.com/en
  • 64. Hua Hong • Focused on mature technology on 8” and 12” wafers • embedded flash (over 40% of sales) and in supplying Chinese customers (over 50% of sales) • licensed Super Flash from SST, a Microchip subsidiary, and SONOS from Cypress to develop a competency in eNVM (embedded Non- Volatile Memory) used in smart cards (SIM cards, bank ICs, mobile payments, ID cards, social security cards) • Specialty applications including microcontrollers, power management, RF, smart cards, discretes and MEMS. • 40k WPM of its 12” fab • plans a further 40k fab for production ramp in 2022-23 • sister company Huali also has 40k WPM capacity on 28-55nm • building a 2nd advanced 12” fab with a capacity for 40k for 28-14nm Foundry http://www.huahonggrace.com/html/index.php
  • 65. Hua Hong Technology Road Map as of July 2020 from larger wafer size (a 12” wafer is 2.25X the size of an 8” wafer), while equipment cost is only 1.7X that of the 8” wafer fab, and personnel cost is only 0.8X. Hua Hong plans to migrate power discrete to 12” fab, with SGT MOSFET ready in 3Q20, Super Junction and IGBT ready in 4Q20. Hua Hong plans to build 10k wafers/months capacity for Power Discretes at its Wuxi 12” fab in 2H20, with another 10k capacity in 2021-22. Capacity: Hua Hong has the largest power semi capacity scale compared with domestic peers Exhibit 121: Hua Hong technology roadmap - migrating power discrete to 12” fab from 2H20 90nm NORD LP 3Q 2Q Power Discrete Logic/MS/Analog eNVM Specialty RF PMIC Floating Gate SONOS MOSFET DT-SJ I/II (600V-900V) 0.18 m~90nm G/LP/ULL 0.13 m/95nm DG/TG(+HV) 0.18~0.11 m DG/TG 0.11 m CIS 0.18 m SiGe BiCMOS 0.18~90nm RF CMOS IPD 0.2 m /0.13um RF SOI 1 m~95nm5V~7V 0.35~0.18 m 40V~80V 90nm NORD LP/ULL 2020 1Q IGBT MEMS Accelero/Pressure/Gyro 2021 2022 4Q 4Q 1Q 2Q 3Q 4Q 1Q 2Q 3Q DT-SJ III (600V) BCD700V LS G2 Plan to develop Available Development 12-inch 55nm 55nm RF CMOS 55nm RF SOI 55nm NORD LP 55nm BCD 55nm BCD+eFlash 55nm SiGe BiCMOS 90nm BCD SFM-IGBT 90nm BCD DT-SJ IV DT-SJ III 900V SJ+IGBT RC-IGBT BCD600V HS G2 LV DT- SJ 0.18 m BCD 60-100V BCD600V HS G1 MOSFET/SGT DT-SJ IGBT BCD700V LS G3 H+/He Implant 55nm ULP (MCU) 0.11 m BCD+eFlash 40V 0.18 m BCD 40V 0.18 m SiGe BiCMOS Enhanced IPD DTC 55nm Biotech Sensor Silicon Photonics MEMS Source: Company data 2357b06457d061 Foundry
  • 66. ASMC formerly Shanghai Philips Semiconductor • Operates three fabs of 5”, 6” and 8”, • Total 8” equivalent capacities of 3k, 24k and 29k wafers per month • Its wafer fabrication service only covers 0.5-0.35μm nodes and power/MEMS products Foundry http://www.asmcs.com/en.asp
  • 67. CanSemi • founded in December 2017, headquartered in Guangzhou • started mass production in September 2019 • capacity of 36k wafers per month (8-inch equivalent) for 0.18μm-90nm nodes. • CanSemi Phase II is expected to launch in 2021, with capacity of 54k wafer per month (8-inch equivalent) and focussing on 90-65nm nodes. Foundry http://www.cansemitech.com/?page_id=394&lang=en
  • 68. Nexchip Joint Venture with Powerchip and Hefei • Nexchip founded in 2015 • Powerchip owns 41%, while Hefei Construction 59% • Fab N1 expand to 45k WPM end-2021 • 150/110/90/55nm manufacturing service for DDIC (Display Driver IC) used in smartphones • building new 40nm N2 fab with 10k capacity 2021, 40k by 2024. • deliver more diversified foundry service including DDI, MCU, power • planning a new N3 fab for160k wafer capacity. IDM/Foundry https://en.nexchip.com.cn
  • 69. AMEC Advanced Micro-Fabrication Equipment China • Founded in 2004 and headquartered in Shanghai • develops and manufactures dielectric and TSV etch tools for and MOCVD (Metal-Organic Chemical Vapor Deposition) tools for LED makers • customers include TSMC, SMIC, YMTC, Hynix, UMC, Winbond, and Sanan Semi Equip
  • 70. AMEC Catching Up Exhibit 9: AMEC is catching up with global technology migration, which is at the 5nm node 1995 1998 1999 2000 2002 2005 2006 2007 2008 2009 2010 2011 2012 2014 2015 2017 2018 2019 2020 Global 0.35um 0.25um 0.18um 0.13um 32nm 28nm 22nm 10nm 5nm SMIC 55nm NAURA equipment AMEC equipment 90nm 16/14nm 100nm 90nm 65nm-40nm 28nm 45nm 90nm 65nm 40nm 28nm 14nm 14nm 65nm 65-16nm 45-7nm 7-5nm 7nm Source: Company data Goldman Sachs China Semiconductors
  • 71. CR Micro • Is an IDM (integrated device manufacturer), foundry and OSAT (outsourced semiconductor assembly & testing) services • 55% of foundry used for its IDM and 45% to the Contract Manufacturing Service business (for external customers) • Key foundry customers include China IC design companies: • MEMSensing, GoerTek, AWINIC, NCE Power, Chipown, and Fuman Electronics 20 January 2021 Figure 92: CR Micro’s wafer fabs in operation Source: Company data, Credit Suisse The company offers wafer fabrication service covering 1.0-0.11µm nodes for CMOS (Complementary Metal Oxide Semiconductor), DMOS (Double-diffused Metal Oxide Semiconductor), BCD (Bipolar-CMOS-DMOS), mixed-signal, high-voltage CMOS, RF (radio frequency) CMOS, bipolar, BiCMOS, NVM (Non-Volatile Memory), MOSFET, IGBT, MEMS, GaN, SiC, etc. As of now, CR Micro allocates roughly 55% of foundry capacity for its IDM (Integrated Device Manufacturing) business and 45% to the Contract Manufacturing Service business (for external customers). Key foundry customers include China IC design companies, like MEMSensing, GoerTek, AWINIC, NCE Power, Chipown, and Fuman Electronics. We expect future capacity expansion from: (1) Wuxi 8-inch line to added capacity of 16k wafer per month for BCD and MEMS. CR Micro raised Rmb1.5 bn for this project during IPO and expects the project to kick off in 2Q 2021. (2) CR Micro is working with a few partners to build a 12-inch production line in Chongqing for power semiconductor, with capacity of 30k wafers per month and total capex of Rmb7.5 bn. It expects this new 12-inch line to ramp up production from end-2021 or 2022. CR Micro will only have minority share of the new Chongqing 12-inch fab, and the agreement between CR Micro and its partners entitles the former to operate the 12-inch fab and has priority to use the capacity for CR Micro’s chip products. CR Micro may Location Line Process Total capacity Wuxi 6" x 3 Analog, BCD, MEMES, DMOS, Power Discrete, etc. 2.48mn wafer per year or 207k wafer per month Wuxi 8" Advance, BCD, Analog, DMOS, etc. 744k wafer per year or 62k wafer per month Chongqing 8" Medium- & low-voltage trench gate MOS, shield gate MOS, super junction MOS, SBD, etc. 732k wafer per year or 61k wafer per month IDM/Foundry https://www.crmicro.com/Home/
  • 72. Huawei Fab • Huawei commissioned the Shanghai IC R&D Center (IRCD) to set up and run a fab in Wuhan without American technology • Goal is to produce 45nm chips by Q1 2022 advancing to 28 nm and 20nm by late 2022. • initially to produce optical communication chips to gain self-sufficiency • HiSilcon is Huawei’s fabless design house and can provide designs for: • smart TVs, IoT devices, 5G telecom, etc.
  • 73. Tsinghua Unigroup 20 January 2021 Figure 105: Tsinghua Unigroup's group structure––YMTC is one out of many affiliates. Source: Company data, Credit Suisse Innotron (CXMT) 19nm DRAM slower progress Ruili Jicheng’s (Innotron Memory) DRAM project is under CXMT (Changxin Memory Technology), in which Innotron owns a 100% stake. Founded in May 2016 and headquartered in Hefei, CXMT’s DRAM development leverages patents licensed from Qimonda. Qimonda is a previous global leading DRAM tech provider, the inventor of Buried Word Line, and one of the pioneers of advanced DRAM technology though it went bankrupt during the financial crisis and also due to challenges scaling the trench capacitor relative to rivals stack capacitor. CXMT said Tsinghua Unigroup (TU) Beijing UNIS Communications and Technology (Group) Beijing UNIS Spreadtrum Inv Tibet UNIS New Micro Inv 100% 100% 63.6% 30% TU directly holds 0.1% UNISOC 38.56% Intel 12.99% The Big Fund I & II 19.37% 100% Tibet UNIS Communication Inv Unisplendour Corp. (000938.SZ) 52.13% Tsinghua Holdings Beijing Jiankun Inv Group 51% 49% Tibet Linzhi Qingchuang AMC 3.24% 100% Tsinghua Unigroup Asset Management Tibet UNIS Chunhua Inv 100% 32.71% Guoxin Micro (002049.SZ) Tibet UNIS Daqi Inv 100% 100% Beijing Zixin Weihua Venture 51% 49% Citic Trust 99.98% Tibet Jiankun VC 0.02% Hubei Zixin Guoqi Tech Inv 51% The Big Fund I 49% Hubei Zixin Tech Inv YMTC Holdings Hubei UNIS Guoqi Tech Inv 100% The Big Fund I 24% 51% YMTC Hubei Tech Inv 13% Hubei National Chip 12% Wuhan Xinxin Semiconductor Manufacturing Co. (XMC) 100% UniMOS (Shanghai) 51% ChipMOS Technologies (8150.TW) 45% Xiamen Unigroup Xue Co. (000526.SZ) 2.18% Tibet UNIS Zhuoyuan Venture 100% 15.6% 5.15% Beijing UNIS Communications and Technology (Group) H3P Group 51% Hewlett Packard Enterprise 49% Tibet UNIS Changqing Communication Inv 100% Tianjin UNIS Haihe Cloud Fund 46.7% 49.99% UNIS Cloud Technology 33.33% UNIS Western Digital Western Digital 49% 47.11% UNIS Software (Wuxi) Group 3.89% 51% Zhongqing Xintou Holdings 100% 49% Zhongqing Xinxin AMC 50.1% Shanghai Qingxin Management 100% Sino Xin Ding Limited 67.85% Sino ICT Holdings (0365.HK) Beijing UNIS Storage Technology 100% Beijing UNIS Smart Automotive Technology Limited 100% Xinjiang Gas Group 56.53% UNIS Financial Information Service Limited 95% 100% CXMT commercialising 19nm DRAM, though has lagged a bit relative to YMTC’s progress on NAND flash • Chinese semiconductor conglomerate • owns chipmakers such as Yangtze Memory Technologies Co. (YMTC) and chip designer Unisoc (Shanghai) Technologies • 51% owned by Tsinghua University • Tried to buy Micron in 2015 • Bankrupt in 2021 and restructuring Conglomerate
  • 74. Wuhan Hongxin Semiconductor Manufacturing Company (HSMC) • Foundry HSMC, founded in 2017, which just like SMIC had plans to start making 7nm chips, has been taken over by local authorities following construction delays and funding shortages • HSMC raised high expectations after hiring former top TSMC executive Chiang Shang-yi as CEO and attracting 19 billion dollars in funding and subsidies • Chiang resigned in June 2020, describing the experience as a “nightmare” to the South China Morning Post • Beijing Guangliang Lantu Technology owned 90% of HSMC, with the remaining stake held by the Dongxihu District Government
  • 75. New Foundry and IDM Spending $156 Billion IDM/Foundry Technology, product comparison between China and global peers We analyze the bridge between Chinese semis and their global peers in terms of (1) technology, (2) SKUs and product portfolio, and (3) key financial data, such as size of revenues, R&D spending, and ROE. Exhibit 5: We break down SPE demand into specific equipment category by each technology generation (more details in the SPE section of this report) 12 matured nodes 2019 2020E 2021E 2022E 2023E 2024E 2025E 2026E Capacity addition (k wpm) Total 32 63 84 84 114 89 93 101 Equipment demand (# units) Furnaces 71 139 185 185 252 197 205 222 Etcher 80 158 210 210 286 224 233 252 Photoresist coater 23 45 59 59 80 63 66 71 Lithography 26 51 68 68 92 72 75 81 Photoresist remover 26 51 68 68 92 72 75 81 CVD 135 265 353 353 480 376 391 423 PVD 77 152 202 202 274 215 224 242 Ion implanter 42 82 110 110 149 117 121 131 CMP 39 76 101 101 137 108 112 121 Cleaning tool 55 108 143 143 195 152 159 171 Source: Goldman Sachs Global Investment Research, Gao Hua Securities Research Exhibit 6: We look at capex budgets by each project and their capacity targets to arrive at their annual SPE demand New 12-inch projects capex total US$160bn Source: Company data, Goldman Sachs Global Investment Research, Gao Hua Securities Research Goldman Sachs China Semiconductors For the exclusive use of BRETT_MILLER@AMAT.COM 1bb2357b06457d061 New 12” 300mm Fab Capex (Equipment and Buildings) As of July 2020 Key drivers are foundry technology migration (e.g., SMIC) and the ramp-up of China’s memory capacity (e.g., at YMTC, CXMT, and Unigroup). In 3D NAND, YMTC has upgraded its 3D NAND products from 32-layer in 2018 to 64-layer in 2019 and 128-layer in 2020. The company announced in April (report link) that it has successfully developed a QLC-based 128-layer 3D NAND flash (X2-6070) and Exhibit 239: China’s new 12’’ fabs: Capex exceeding US$156bn Company / project name Ticker Type Capex (USD) Products Construction start Equipment move in SMIC 981.HK Foundry 10.5bn 14nm and above advanced nodes - Aug-2019 Hua Hong (Wuxi) 1347.HK Foundry 10bn (Phase 1: 2.5bn) 90nm, 65/55nm Mar-2018 May-2019 Huali (Fab 6) Private Foundry 5.5bn 28nm/14nm Dec-2016 May-2018 GTA Semiconductors Private Foundry 4bn (Phase 2) Power discretes, PMIC, CIS Aug-2018 - Wuhan HSMC* Private Foundry 20bn 14nm/7nm logic Mar-2019 Nov-2019 Hefei Nexchip Private Foundry 1.8bn Touch/Display driver IC Oct-2015 Apr-2017 Silan Microelectronics (Xiamen) 600460.SS IDM 2.3bn (Phase 1: 1bn) MEMS, power discretes Oct-2018 2Q20 Jiangsu AMS Private IDM 1.8bn EEPROM Aug-2017 Mar-2018 CR Microelectronics 688396.SS IDM 1.4bn Power discretes, PMIC 2019 2021 Cansemi Private IDM 1bn MCU, PMIC, analog, power discretes Mar-2018 Mar-2019 Yangtze Memory (YMTC) Private Memory 24bn 3D NAND Flash Dec-2016 Apr-2018 Changxin Memory (CXMT) Private Memory 22bn DRAM Jul-2017 1Q18 Unigroup (Nanjing) Private Memory 30bn (Phase 1: 10bn) 3D NAND Flash/DRAM Sep-2018 - Unigroup (Chengdu) Private Memory 24bn (Phase 1: 10bn) 3D NAND Flash Aug-2018 1Q21 Unigroup (Chongqing) Private Memory 10bn DRAM 4Q19 2021 Total: US$156bn+ HSMC*: Wuhan Hongxin Semiconductor Manufacturing Corp. Source: Company data, Goldman Sachs Global Investment Research Goldman Sachs China Semiconductors In 3D NAND, YMTC has upgraded its 3D NAND products from 32-layer in 2018 to 64-layer in 2019 and 128-layer in 2020. The company announced in April (report link) that it has successfully developed a QLC-based 128-layer 3D NAND flash (X2-6070) and Exhibit 239: China’s new 12’’ fabs: Capex exceeding US$156bn Company / project name Ticker Type Capex (USD) Products Construction start Equipment move in SMIC 981.HK Foundry 10.5bn 14nm and above advanced nodes - Aug-2019 Hua Hong (Wuxi) 1347.HK Foundry 10bn (Phase 1: 2.5bn) 90nm, 65/55nm Mar-2018 May-2019 Huali (Fab 6) Private Foundry 5.5bn 28nm/14nm Dec-2016 May-2018 GTA Semiconductors Private Foundry 4bn (Phase 2) Power discretes, PMIC, CIS Aug-2018 - Wuhan HSMC* Private Foundry 20bn 14nm/7nm logic Mar-2019 Nov-2019 Hefei Nexchip Private Foundry 1.8bn Touch/Display driver IC Oct-2015 Apr-2017 Silan Microelectronics (Xiamen) 600460.SS IDM 2.3bn (Phase 1: 1bn) MEMS, power discretes Oct-2018 2Q20 Jiangsu AMS Private IDM 1.8bn EEPROM Aug-2017 Mar-2018 CR Microelectronics 688396.SS IDM 1.4bn Power discretes, PMIC 2019 2021 Cansemi Private IDM 1bn MCU, PMIC, analog, power discretes Mar-2018 Mar-2019 Yangtze Memory (YMTC) Private Memory 24bn 3D NAND Flash Dec-2016 Apr-2018 Changxin Memory (CXMT) Private Memory 22bn DRAM Jul-2017 1Q18 Unigroup (Nanjing) Private Memory 30bn (Phase 1: 10bn) 3D NAND Flash/DRAM Sep-2018 - Unigroup (Chengdu) Private Memory 24bn (Phase 1: 10bn) 3D NAND Flash Aug-2018 1Q21 Unigroup (Chongqing) Private Memory 10bn DRAM 4Q19 2021 Total: US$156bn+ HSMC*: Wuhan Hongxin Semiconductor Manufacturing Corp. Source: Company data, Goldman Sachs Global Investment Research Goldman Sachs China Semiconductors ILLER@AMAT.COM
  • 76. Semi Incentives by Country foreign suppliers for key medical gear. With the military rivalry with China growing and economic performance seeing ongoing sluggish growth in the manufacturing sector, US policy is starting to shift toward providing more public support. Grants/subsidies and tax incentives have far lagged other countries that have seen a rapid increase in their tech manufacturing base. Figure 66: Semiconductor manufacturing incentives gap: US vs ROW Source: Company data, Credit Suisse estimates
  • 78. IDMs (integrated device manufacturers) • semiconductor companies who design and manufacture chips with in- house wafer processing, packaging, and testing capacity • Some IDMs also outsource part of their products to foundries and OSATs considering the resources and capex burdens. • Key names in China: CR Micro, Wingtech (Nexperia), Silan, SiEn, YMTC, Innotron
  • 79. CR Micro • Is an IDM (integrated device manufacturer), foundry and OSAT (outsourced semiconductor assembly & testing) services • 55% of foundry used for its IDM and 45% to the Contract Manufacturing Service business (for external customers) • Key foundry customers include China IC design companies: • MEMSensing, GoerTek, AWINIC, NCE Power, Chipown, and Fuman Electronics 20 January 2021 Figure 92: CR Micro’s wafer fabs in operation Source: Company data, Credit Suisse The company offers wafer fabrication service covering 1.0-0.11µm nodes for CMOS (Complementary Metal Oxide Semiconductor), DMOS (Double-diffused Metal Oxide Semiconductor), BCD (Bipolar-CMOS-DMOS), mixed-signal, high-voltage CMOS, RF (radio frequency) CMOS, bipolar, BiCMOS, NVM (Non-Volatile Memory), MOSFET, IGBT, MEMS, GaN, SiC, etc. As of now, CR Micro allocates roughly 55% of foundry capacity for its IDM (Integrated Device Manufacturing) business and 45% to the Contract Manufacturing Service business (for external customers). Key foundry customers include China IC design companies, like MEMSensing, GoerTek, AWINIC, NCE Power, Chipown, and Fuman Electronics. We expect future capacity expansion from: (1) Wuxi 8-inch line to added capacity of 16k wafer per month for BCD and MEMS. CR Micro raised Rmb1.5 bn for this project during IPO and expects the project to kick off in 2Q 2021. (2) CR Micro is working with a few partners to build a 12-inch production line in Chongqing for power semiconductor, with capacity of 30k wafers per month and total capex of Rmb7.5 bn. It expects this new 12-inch line to ramp up production from end-2021 or 2022. CR Micro will only have minority share of the new Chongqing 12-inch fab, and the agreement between CR Micro and its partners entitles the former to operate the 12-inch fab and has priority to use the capacity for CR Micro’s chip products. CR Micro may Location Line Process Total capacity Wuxi 6" x 3 Analog, BCD, MEMES, DMOS, Power Discrete, etc. 2.48mn wafer per year or 207k wafer per month Wuxi 8" Advance, BCD, Analog, DMOS, etc. 744k wafer per year or 62k wafer per month Chongqing 8" Medium- & low-voltage trench gate MOS, shield gate MOS, super junction MOS, SBD, etc. 732k wafer per year or 61k wafer per month IDM/Foundry https://www.crmicro.com/Home/
  • 81. Wingtech (Nexperia) • Ex Philips semiconductor standard products division • 25,000 customers and more than 15,000 types of products. More than 800 new products are launched for every year • largest power semiconductor Company in China • 100 billion chips/year • headquartered in Nijmegen, Netherlands, wafer manufacturing located in Hamburg, Germany and Manchester, the UK,assembly factory in Dongguan, China, Cabuyao, Philippines and Seremban, Malaysia http://www.wingtech.com IDM
  • 82. Silan Microelectronics • 6”, 8” and 12-inch lines • power modules (IPM/PIM), power semiconductors, MEMS sensors, digital audio and video, general ASIC circuits • Manufacturing optoelectronic products and LED chips • located in Hangzhou IDM
  • 83. China and Memory Chips • China has a huge trade gap in DRAM and NAND flash memory in systems, and storage • China imports most of its memory • Intel, Samsung and SK Hynix operate memory fabs in China, which produce chips for both the domestic and international markets. • China is developing its domestic memory industry • YMTC enter the 3D NAND business and nd CXMT is ramping up China’s first home- grown DRAMs. • 3D NAND resembles a vertical skyscraper in which horizontal layers of memory cells are stacked • manufacturing challenges escalate as you add more layers. • YMTC shipping 64- and 128 layer 3D NAND devices • YMTC’s chips are being incorporated in USB cards and SSDs from Chinese companies
  • 84. NAND and DRAM Fabs • Yangtze Memory Technologies Co. (YMTC) / ChangXin Memory Technologies (CXMT) both began mass production of NAND and DRAM in 2019 • Other memory suppliers include: • Ingenic/ISSI • Giantec • Fujian Jinhua • Reliance Memory (joint venture of Rambus and Gigadevice • Unigroup Guox • Montage Technology (memory interface)
  • 85. YMTC – Memory Lags by 1 or 2 Years Exhibit 240: Chinese memory YMTC narrowing the gap YMTC’s 64L lags behind by 2 years; currently migrating to 128L, lagging behind by 1 year Companies Samsung SK Hynix Micron Intel YMTC 2017 2018 2019 2020 2022 2021 192L 48L 64L 92L 128L 1xxL 2xxL 48L 72L 96L 128L 32L 64L 96L 128L 32L 64L 1xxL 96L 144L 1xxL or 2xxL 32L 64L 128L 1xxL or 2xxL Source: Company data, Goldman Sachs Global Investment Research Exhibit 241: Foundries’ technology roadmap: SMIC migrating to 14nm and Hua Hong migrating from 8’’ fab to 12’’ 65nm nodes 1Q14 2Q14 3Q14 4Q14 1Q15 2Q15 3Q15 4Q15 1Q16 2Q16 3Q16 4Q16 1Q17 2Q17 3Q17 4Q17 1Q18 2Q18 3Q18 4Q18 1Q19 2Q19 3Q19 4Q19 1Q20 2Q20 3Q20 4Q20 1Q21 2Q21 3Q21 4Q21 28nm 20nm 16nm 10nm 7nm 7nm+ 6nm 5nm 3nm 28nm 14nm 10nm 7nm 5nm TSMC Samsung Goldman Sachs China Semiconductors
  • 86. China Power Semiconductor Companies 20 January 2021 CR Micro is one of China’s top five power semi suppliers besides Huawei Silicon, Silan, Silergy, and Yangjie. Also, CR Micro is one of few China suppliers which have established a comprehensive product portfolio. We believe CR Micro’s established technologies, especially in MOSFET, should help it to grow with China’s ecosystem in the next few years as technological moat in power semi is hard to break, and CR Micro is continuously reinforcing its technology capabilities and that helps create its moat vs many emerging, smaller China suppliers. Figure 161: China power semiconductor suppliers—CR Micro has the most comprehensive product portfolio Source: Company data, Credit Suisse estimates Overseas implications from China’s ramp in power ICs The Auto and Industrial semiconductor market are two areas where key overseas semiconductor companies like Infineon and STMicro have higher exposure. Auto China industrial/auto growing, still outweighing local China player inroads • Power Semis switch high voltages • Used in automotive, industrial and military applications
  • 88. Fabless (IC design) • A fabless semiconductor company designs chips that can meet its customers’ needs, and outsources the making of the chips to foundries and OSATs. • The foundries and OSATs do the wafer processing/packaging/testing • The benefits of the fabless business model is that it can focus on the design without the capex burden to build its own capacity. • Key names in China: Goodix, GigaDevice, Maxscend, SG Micro, Will Semi, StarPower, Montage
  • 89. Chinese Logic Status CPUs: dominated by Intel and AMD, and ARM solutions emerging • Phytium ARM based PC CPU traction at SOEs as Chinse alternative to Intel-AMD based systems • Zhaoxin licensed the x86 core as a JV with Via and the Shanghai Municipal Govt for Chinese processor • Loongson is also targeting MIPs 64 bit CPUs for general purpose computing. FPGAs: Xilinx and Altera/Intel have over 80% market share, followed by Microchip (acquired Microsemi/Actel) and Lattice • FPGA market has higher barriers due to the software platforms used to program the FPGAs and increasing amount of embedded IP • Chinese players including Gowin, Fudan Micro, Unigroup Guoxin and Huada are developing FPGAs Analog/discretes: design houses focusing on high volume IT products and discretes. • in computing, mobile, consumer/IoT, display and LEDs, and later on auto/industrial. • SG Micro, Jilin Sino-Microelectronics, Silan, and Silergy and ZTE’s Microelectronics (SaneChips) gaining traction • In standard products, Wingtech’s purchase of Nexperia’s standard products group also gives it a high position in discrete.
  • 90. China Fabless CPU Companies • China driving for self-sufficiency in processor chips • Currently are built on ARM, Intel, RISC-V, and MIPS - non-Chinese architectures • Huawei’s HiSilicon; driven by Huawei’s smartphones and telecom equipment, largest Chinese fabless co. • Sunway is a Chinese architecture used by their military and supercomputers They use Chinese foundries to produce these chips 20 January 2021 Figure 166: Other China CPU IC design companies Source: Company data, cnBeta, Anandtech, HKEPC, Credit Suisse China CPU fabless Phytium Zhaoxin HiSilicon Hygon Loongson Sunway 飞腾 兆芯 海思 海光 龙芯 申威 Shareholders China Great Wall, CEC Group Shanghai Zhaoxin (VIA + Shanghai government) Huawei Sugon, AMD, Hygon Institute of Computing Technology, Chinese Academy of Sciences Wuxi Jiangnan Institute of Computing Technology Architecture ARM v8 x86 ARM v8 x86 MIPS64 Sunway 64 PC CPU FT2000/4 KX-6000 Kunpeng 920s 3185 3A4000 SW1621 Tech spec 2.0GHz Quad core 64 bit 2.0GHz Quad core 64 bit 2.0GHz Quad / Octa 64 bit 3.2GHz 8 cores 64 bit 2.0GHz Quad core 64 bit 2.0GHz 16 core 64 bit Manufacturing node 16nm 16nm 7nm 14nm 28nm 40nm Server CPU S2500 KH-30000 Kunpeng 920 7185 3B4000 SW26010 Tech spec 2.2GHz 64 cores 64 bit 3.0GHz Octa cores 64 bit 2.5GHz 64 cores 64 bit 2.0GHz 32 cores 64 bit 2.0GHz Quad core 64 bit 1.45GHz 260 cores 64 bit Manufacturing node 16nm 16nm 7nm 14nm 28nm 28nm IC design partner Alchip, EE2 VIA NA AMD NA NA Ecosystem support Strong Strong Strong Strong Limited Limited Security High High High High High High China technology control Medium-High Low Medium-High Low High High Nuclei System Technology RISC-V Starfive RISC-V
  • 91. CPU Fabless Ecosystem 20 January 2021 Figure 167: China CPU fabless ecosystem partners—all are working closely with Chinese companies across industries to promote their CPU solutions Source: Company data, Sina, cnBeta, DSCOM, Sohu, Credit Suisse Montage: Localised solution for Intel server CPU. Montage has been working with Tsinghua University and Intel to develop Jintide® server platform since 2016, consisting of Jintide® server CPU and Montage’s memory solution. Jintide® server CPU is based on Intel’s latest generation Xeon server CPU die (x86 architecture), with Montage’s security chips integrated to achieve functions of PrC (Pre-Check) and DSC (Dynamic Security Check, developed by Tsinghua University). China CPU fabless Phytium Zhaoxin HiSilicon Hygon Loongson Sunway 飞腾 兆芯 海思 海光 龙芯 申威 Server Lenovo, Inspur, Unishy, Chaoyue, Sugon, Tsinghua Tongfang, Changhong, Power Leader, Nginetech, Cloud Kirin, Wanfang Electronics, Bitland, Weibu, LDX, Great Wall, etc. Lenovo, Tsinghua Tongfang, Donghai Computer, Mastor, Inspur, Power Leader, Ruijie, etc. Huawei, Changhong, 100 Trust, EASTCOM, Unishy, Xiangjiang Kunpeng, Tsinghua Tongfang, Power Leader, Huanghe, Hai Xia Xing Yun, Digital China, etc. Sugon, Jinpin, etc. 100 Trust, Lenovo, Chaoyue, Gooxi, Teamsun Info, Inspur, Sugon, Tsinghua Tongfang, Super Red, Wuzhou, Zishan Longlin, Founder, Power Leader, etc. Wuzhou, CETC, CyanCloud, Power Leader, TTY, CAHD, Cloud Kirin, etc. Desktop/Notebook Great Wall, Lenovo, Inspur, Chaoyue, Bitland, Haier, Unishy, Tsinghua Tongfang, Sugon, Hisense, AOC, IPASON, Embed Way, Sakway, LDX, etc. Lenovo, Tsinghua Tongfang, Donghai Computer, Vention, IPASON, HP, IP30- Technology, Biens, etc. Huawei, 100 Trust, Tsinghua Tongfang, Power Leader, Tsinghua Tongfang, Changhong, Centrium, Huanghe, Xiangjiang Kunpeng, Digital China, etc. Tsinghua Tongfang, 100 Trust, Power Leader, Chaoyue, Founder, GEIT, Haier, Inspur, Lenovo, HIK VISION, Ningmei, IPASON, RUIJIE, 3nod, Centerm, Sugon, Wuzhou, Taiji, etc. CyanCloud, External Asia, etc. AI/security/industri al devices Caffee, Intellifusion, Baidu, Cambricon, Tensor Flow, Bitmain, Venustech, Westone, TOPSEC, NSFOCUS, Sangfor, HBC, NEUSOFT, Securityunion, Victory- idea, TIPTOP, Dahua, HIK VISION, etc. Syan, DAS-Security, HICO, eFound, Learsun, Centerm, SIXUNITED, Westone, Hillstone, EISOO, WUZHOU, CVTE, etc. Huawei Fusion Storage, Huawei GaussDB, Huawei CloudLink, DSCOM, Starwarp, etc. Maipu, Westone, Bdcom, Unishy, ZEEGO, AERODEV Network, EmbedWay, Shenzhou Huian, Sansec, Sugon, etc. Operating system Kylin, CentOS, Ubuntu, Debian, Fedora, ReWorks, JARI, VxWorks, SylixOS, UOS, etc. Ubuntu, iSoft, NeoKylin, NFS China, Windows, CentOS, UOS, etc. CentOS, Ubuntu, NeoKylin, Deepin, iSoft, Asianux, BC Linux, Openeuler, UOS, etc. Windows, NeoKylin, UOS, CentOS, Ubuntu, Deepin, etc. Loongnix, NeoKylin, Deepin, iSoft, Kylinsec, UOS, etc. UOS, iSoft, NeoKylin, Red Flag, Deepin, etc. Software/cloud service/end user Foxit, Kingsoft, Thunisoft, Sougou, Meitu, Tencent, Kingdee, Firefox, Scutech, Haitai, Pushtiem, HKB, Weaver, Aliyun, ZTE, UCLOUD, EASTED, KVM, etc. Windows Office, WPS, OpenGL, Direct3D, Foxit, Lanxum, Haitai, 360, DHC, Neusoft, Tiduyun, YOZO Soft, Windows softwares, Big Data Center of Shanghai Government, People's Bank of China, Bank of Shanghai, China Pacific Insurance, Shanghai Metro, etc. Sangfor, Sandstone, Tong Tech, Asian Info, Chinac.com, Easy Stack, Tech Education, Beiming Software, Mixlinker, Chanjet, Kingdee, Super Map, SIPM, Paratera, Information2, Joyware, China Telecom, DCITS, ThuniSoft, Audaque, NetEase, Haitong Securities, NC Cloud, etc. China Telecom, Windows softwares, etc. WPS, YOZOSOFT, Foxit, Suwell, Smplayer, Meitu, 360 Security Browser, Tencent, Alibaba, Kingsoft, Inspur, etc. Red Flag, Standard Software, Dameng, GBASE, Kingbase, etc.
  • 97. China AI Chip Companies 20 January 2021 Figure 197: A number of start-ups in China and globally targeting AI chip development, TSMC leading in fabrication Source: Company data, Credit Suisse estimates Competitive landscape for Design Services The design service capability and capacity, IP portfolio, success rate, supply chain relationship, target applications and technology/IP support are the important factors when customers choose the service provider. We compare the competiveness for the major companies including Global Unichip, Alchip and Faraday in Taiwan in China as below. Start-ups Key focus area Country Foundry Most advanced node Tech investors AIMotive Semiconductor chipset and software for automated driving Hungary GlobalFoundries 22nm FD-SOI Cisco, Samsung Blaize Vision processing chips US TSMC 28nm HPC Denso, Samsung BrainChip Neuromorphic SoC (Akida) that can be function as an SoC or integrated into ASIC Australia TSMC 28nm Listed Cambricon Device and cloud processors for AI China TSMC 7nm Alibaba, TCL Cerebras Systems Specialized chip for deep-learning applications US TSMC 16nm NA Deep Vision Low-power silicon architecture for computer vision US TSMC 28nm HPC NA DeepcreatIC Heterogeneous neuromorphic chips China SMIC 40nm NA Deephi Compressed CNN networks and processors China TSMC 7nm Xilinx DinoPlus High-performance and ultra-low latency AI chipsets for 5G/edge computing US NM NM NA Enflame Cloud-based deep learning chips for AI training platforms China GlobalFoundries 12nm LP Tencent, SummiView Esperanto Massive array of RISC-V cores US TSMC 7nm Western Digital GrAI Matter Labs AI chipsets designed for ultra-low latency and low power processing at the edge France TSMC 28nm NA Graphcore Graph-oriented processors for deep learning UK TSMC 16nm Dell Groq Google spinout working on deep learning chip US NM 14nm NA Habana Labs Programmable deep learning accelerators for data center training and inference Israel TSMC 7nm Intel Hailo Specialized deep learning microprocessor Israel NM NM NEC Horizon Robotics Chipsets and solutions for smart Home, automotive and public safety China TSMC 16nm SK Hynix IntelliGo Hardware and software for image and speech processing China NM NM Mediatek Intengine Tech AI chips for embedded system for edge computing China NM NM NA Kneron NPU that accelerates neural network models making possible applications (e.g. face detection and gesture control) in embedded devices US TSMC 16nm Alibaba, Himax, Qualcomm Lightmatter Programmable photonic to accelerate critical operations in deep neural networks US NM NM Alphabet Lynxi Brain-like computing chip for high performance computing China NM 28nm NA Mythic Ultra-low power neural networking inference chips based on flash+analog+digital US Fujitsu 40nm Lam Research, Micron, Softbank Novumind AI for IoT US TSMC 7nm NA Preferred Networks Real time data analytics and chipset solutions with deep learning library Japan TSMC 12nm Hitachi, Fanuc Reduced Energy Microsystems Chipset solutions for deep learning and machine vision with low power consumption US GlobalFoundries 22nm FD-SOI NA SambaNova Reconfigurable Array platform for matrix arithmetic for AI applications US NM NM Google, Intel SenseTime Chipset solutions for computer vision China NM NM Softbank, Singtel, Qualcomm, Alibaba SiMA.ai Machine Learning SoC platform for high performance and low power consumption US NM NM Dell Syntiant Customized analog neural networks US NM 40nm ULP Amazon, Microsoft, Intel Tenstorrent Deep learning processor for faster training and adaptability to future algorithms Canada GlobalFoundries 12nm NA Thinkforce AI chips for edge computing China NM NM NA Tsinghua Thinker Low power AI chips for edge computing China TSMC 65nm LP Tsinghua VC Unisound Chipsets for AI-based speech and text capability China TSMC 28nm Qihoo Vathys Chipset design for deep learning supercomputers US NM NM NA Wave Computing ASIC solutions for deep learning computers US TSMC 7nm Samsung Xanadu Quantum photonic processors Canada NM NM NA In 2017, Chinese investment in 109 AI chip companies totaled $23.76 billion
  • 98. China Fabless Leaders . Source: CSIA . Source: CSIA Table 14 - China IC Design Market Share . Type Category Market Share % China Global Calculating CPU/MPU <1% Phytium, Loongson, Sunway, zhaoxin, Hisilicon Intel, AMD MCU 5~10% Sino wealth, Gigadevice, Ingenic, Eastsoft, Belling, CRMicro, HDSC Renesas, NXP, Freescale, STMicro, Infineon, Microchip, Cypress FPGA/CPLD <1% Gowinsemi, Pangomicro, Hercules, Anlogic, isilicontek, Fudanmicro Xilinx, Altera, Lattice, Microchip DSP <1% CETC-14, Loongson TI, ADI Communication Application processor 15~20% Hisilicon, UniSoC Qualcomm, Mediatek, Samsung Communication processor 20~25% Hisilicon, UniSoC, Espressif, Beken Nufront, Sino wealth, Allwiner Broadcom, Qualcomm, Mediatek, TI, Realtek Memory DRAM 0% CXMT, Uni Group Samsung, SK hynix, Micron NAND Flash <1% YMTC Samsung, SK hynix, Micron, Toshiba, WD Nor Flash 5~10% Gigadevice Cypress, Micron, Macronix Analog IC Analog IC 5~10% SG micro, Will semi, Silergy, Hisilicon TI, ADI, Infineon, STMicro, NXP, Onsemi Source: Jefferies estimates
  • 99. How To Build A Fab Steve Blank sblank@kandranch.com
  • 100. Fab Facts - 1 • Whoever has the smallest feature size is wins the tech race Right now, that’s TSMC at 5nm • Only three companies have the know-how to make fabs at the leading edge • Samsung (South Korea), and TSMC (Taiwan), and Intel (US) barely • Every transition to a smaller feature size is built on highly proprietary know- how that the chipmaker learned from all the previous transitions • There’s no way to magically jump the line by throwing money at the problem • It takes two years to build a fab and ramp production • Technology transitions happen approximately every 18 months, and semiconductor sales peak every 24-36 months • Neither technology roadmaps or market forecasts are reliable two years into the future • bringing up production of the wrong technology in the middle of a downturn can have disastrous financial consequences
  • 101. Fab Facts - 2 • The know-how for fab building resides in the parent company, not in the fab itself • you couldn’t take all the employees and equipment at that fab and clone it it if the parent company didn’t cooperate • TSMC can’t make new, advanced fabs without equipment from the US and Europe • The US can stop TSMC’s ability to advance the state-of-the-art • Innovation in the fab manufacturing process trumps everything else for performance and power efficiency
  • 102. 4 Building Fab Layout – Example 1. Fabrication and Process Support Building The building is divided into two areas: • a 7,900 square meter process support area dedicated to material storage, testing, and specialized support functions; • a 16,100 square meter fabrication area that includes a 7,800 square meter, Class 100 “ballroom” on level two 2. Central Utility Building (CUB ) 4,625 square meters building supplies the mechanical and electrical energy to the entire physical plant. • The CUB is a two-story structure, separated from the Fab by a service road • All utilities running over to the Fab are conveyed through an overhead trestle structure, providing easy access and flexibility for future changes.
  • 103. 4 Building Fab Layout – Example 3. Chemical and Waste Treatment Building • Contains the process-related chemicals and waste treatment areas supporting the fab process. • 4,675-square meter building located adjacent to the Fab for chemical safety and ease of distribution • All chemicals are delivered, stored, and dispensed into the Fab from this building. • The waste treatment facility ha water recycling while ensuring safe discharge of process wastes into the municipal systems • Extensive air abatement systems are located on the roof of this building. 4. Office Building • Four story 10,500 square meters of administration, engineering, etc.
  • 104. The Fab Shell • Lithography equipment requires control of ambient vibration • Highways, airports and rail can render the site unsuitable or require complex seismic isolation • Seismic isolation is often the most time-consuming and expensive part of construction • Fab's power and water consumption place substantial pressure on local supplies • availability of stable power ~100MW and abundant water will determine what on-site water purification and power generation facilities are needed • Construction projects are inherently dirty. Unless construction workers have built fabs before, they may not be familiar with clean construction protocols. • Final flooring, painting and landscaping happen earlier in a fab construction project than in other kinds of construction. • Materials need to be cleaned before installation and kept clean during construction • As the fab shell grows and cleanliness becomes more critical
  • 106. The Clean Room • The heart of the fab is the Clean Room • This where the wafer fab equipment is • a sealed environment with less than one particle of 0.5 micron dust, per cubic foot of air • every surface and piece of equipment in the clean room is freshly scrubbed and maintained • Workers wear "bunny suits" (i.e., Goretex jumpsuits, with face masks, hair caps and rubber gloves) that cover everything but their safety glasses
  • 107. The Cleanroom Is the Heart of the Fab
  • 108.
  • 109.
  • 111. 10 assemblies contribute more than 90 percent of facility costs 1. Process equipment 2. Structural/building 3. Electrical system 4. Mechanical wet side 5. Mechanical dry side 6. Interior architectural finishes 7. Cleanroom 8. Site development 9. Instrumentation and control 10. Life safety systems
  • 112. Things That Can Go Wrong In A Fab • Operational Phase • Fires • Fluids • Contamination • Electrical breakdown • Explosion • Service interruption • Construction and Erection Phase • Dropping of equipment • Fire • Explosion
  • 113. Clean Room Hazards • Ducts and pipes made of combustible material (e.g. pvc) • High value concentrated in the entire clean room • toxic and chemically aggressive gases and liquids • high temperature applications • high voltage equipment • shock sensitivity of optoelectronic components • chemical residue contamination and corrosion particle contamination of clean room • highly flammable/explosive gases and liquids
  • 114. 200mm Capacity and # of Fabs • wafer manufacturers will add 22 new 200mm fabs in the next 4 years
  • 116. The Fab Process IDMs and Foundries Back-end Processes Silicon wafers Power/Water Front-end Processes Gases and Chemicals Chip Designs Finished Chips
  • 117. How Big is It? – Wafer Size • Current fabs use wafers ~8 inch 200mm or ~12 inch 300mm • 300mm wafers allow more chips to be made at the same time • But require new wafer fab equipment • Most new fabs are 300mm
  • 118. Installed Capacity By Wafer Size As of Dec 2020 ~12 inch ~8 inch ~8 inch
  • 119. 300mm ranking includes… • DRAM and NAND flash memory suppliers • Samsung, Micron, SK Hynix, and Kioxia/WD; • Pure-play foundries • TSMC, GlobalFoundries, UMC, and Powerchip (including Nexchip) • Intel, the biggest manufacturer of microprocessors • They benefit most from using the largest wafer size available to amortize the manufacturing cost per die
  • 120. U.S. 12” (300mm) Fabs Source: SEMI 2020 Fab Construction Monitor database
  • 121. Wafer Fab Process Flow Thermal process/furnace: An area with relatively the local leader in this space Source: Goldman Sachs Global Investment Research Exhibit 202: Global SPE market by equipment segment: US$61bn in 2019 Equipment segments Lithography Deposition Process control Thermal process Ion implanter Market size (US$ bn) 11.7 12.0 6.2 1.4 1.2 Mix 19% 20% 10% 2% 2% Applied Materials SCREEN ASML Applied Materials KLA Tokyo Electron AMAT LAM Research LAM Research Cannon LAM Research Nanometrics Applied Materials Axcelis Key suppliers Tokyo Electron Tokyo Electron Nikon TEL Applied Materials Hitachi Nissin NA RA ACM Research SMEE NA RA Hitachi Hightech NA RA Wanye AMEC NA RA Sypiotech Raintree Scientific Mattson 15.9 26% Etch and clean Green: major suppliers globally; Pink: China local supplier Source: Goldman Sachs Global Investment Research, Gao Hua Securities Research, Gartner e:GoldmanSachsGlobalInvestmentResearch ibit202:GlobalSPEmarketbyequipmentsegment:US$61bnin2019 uipment segments Lithography Deposition Process control Thermal process Ion implanter Photoresist processing rket size (US$ bn) 11.7 12.0 6.2 1.4 1.2 2.1 x 19% 20% 10% 2% 2% 3% Applied Materials SCREEN ASML Applied Materials KLA Tokyo Electron AMAT TEL LAM Research LAM Research Cannon LAM Research Nanometrics Applied Materials Axcelis Mattson Tech y suppliers Tokyo Electron Tokyo Electron Nikon TEL Applied Materials Hitachi Nissin 15.9 26% Etch and clean mentResearch rketbyequipmentsegment:US$61bnin2019 Lithography Deposition Process control Thermal process Ion implanter Photoresist processing Others Test 11.7 12.0 6.2 1.4 1.2 2.1 2.1 5.5 19% 20% 10% 2% 2% 3% 3% 9% SCREEN ASML Applied Materials KLA Tokyo Electron AMAT TEL Teradyne LAM Research Cannon LAM Research Nanometrics Applied Materials Axcelis Mattson Tech Advantest Tokyo Electron Nikon TEL Applied Materials Hitachi Nissin Cohu 9 % d clean Others Test Assembly 2.1 5.5 3 3% 9% 5% Teradyne ASMPT Advantest DISCO Cohu BE SEMI ent:US$61bnin2019 eposition Process control Thermal process Ion implanter Photoresist processing Others Test Assembly 12.0 6.2 1.4 1.2 2.1 2.1 5.5 3 20% 10% 2% 2% 3% 3% 9% 5% ed Materials KLA Tokyo Electron AMAT TEL Teradyne ASMPT Research Nanometrics Applied Materials Axcelis Mattson Tech Advantest DISCO Applied Materials Hitachi Nissin Cohu BE SEMI implanter Photoresist processing Others Test Assembly 1.2 2.1 2.1 5.5 3 2% 3% 3% 9% 5% TEL Teradyne ASMPT s Mattson Tech Advantest DISCO Cohu BE SEMI Back-end of the Process Front-end of the Process Front-end of the Process
  • 122. Wafers are sawed out of an ingot of pure crystalline silicon Polishing Material deposition or modification The resist is applied to a spinning wafer to achieve a uniform layer 1 2 3 4 Using EUV Lithography the chip patterns are “burned” into the resist in an exposure step 5 6 The print is developed through etching and heating 7 Ion Implantation dope exposed regions 8 The resist is removed 9 A wafer processing cycle is complete, and one layer has been fabricated 10 Repeat 40 to 100 times Cust chips out of the wafer and test Cut chips out of the wafer and test Package and assembly the chips 11 12 Chip Fabrication Steps Mature processes have yields of 30-80%
  • 123. Wafer Fab Process Flow Thermal process/furnace: An area with relatively the local leader in this space Source: Goldman Sachs Global Investment Research Exhibit 202: Global SPE market by equipment segment: US$61bn in 2019 Equipment segments Lithography Deposition Process control Thermal process Ion implanter Market size (US$ bn) 11.7 12.0 6.2 1.4 1.2 Mix 19% 20% 10% 2% 2% Applied Materials SCREEN ASML Applied Materials KLA Tokyo Electron AMAT LAM Research LAM Research Cannon LAM Research Nanometrics Applied Materials Axcelis Key suppliers Tokyo Electron Tokyo Electron Nikon TEL Applied Materials Hitachi Nissin NA RA ACM Research SMEE NA RA Hitachi Hightech NA RA Wanye AMEC NA RA Sypiotech Raintree Scientific Mattson 15.9 26% Etch and clean Green: major suppliers globally; Pink: China local supplier Source: Goldman Sachs Global Investment Research, Gao Hua Securities Research, Gartner e:GoldmanSachsGlobalInvestmentResearch ibit202:GlobalSPEmarketbyequipmentsegment:US$61bnin2019 uipment segments Lithography Deposition Process control Thermal process Ion implanter Photoresist processing rket size (US$ bn) 11.7 12.0 6.2 1.4 1.2 2.1 x 19% 20% 10% 2% 2% 3% Applied Materials SCREEN ASML Applied Materials KLA Tokyo Electron AMAT TEL LAM Research LAM Research Cannon LAM Research Nanometrics Applied Materials Axcelis Mattson Tech y suppliers Tokyo Electron Tokyo Electron Nikon TEL Applied Materials Hitachi Nissin 15.9 26% Etch and clean mentResearch rketbyequipmentsegment:US$61bnin2019 Lithography Deposition Process control Thermal process Ion implanter Photoresist processing Others Test 11.7 12.0 6.2 1.4 1.2 2.1 2.1 5.5 19% 20% 10% 2% 2% 3% 3% 9% SCREEN ASML Applied Materials KLA Tokyo Electron AMAT TEL Teradyne LAM Research Cannon LAM Research Nanometrics Applied Materials Axcelis Mattson Tech Advantest Tokyo Electron Nikon TEL Applied Materials Hitachi Nissin Cohu 9 % d clean Others Test Assembly 2.1 5.5 3 3% 9% 5% Teradyne ASMPT Advantest DISCO Cohu BE SEMI ent:US$61bnin2019 eposition Process control Thermal process Ion implanter Photoresist processing Others Test Assembly 12.0 6.2 1.4 1.2 2.1 2.1 5.5 3 20% 10% 2% 2% 3% 3% 9% 5% ed Materials KLA Tokyo Electron AMAT TEL Teradyne ASMPT Research Nanometrics Applied Materials Axcelis Mattson Tech Advantest DISCO Applied Materials Hitachi Nissin Cohu BE SEMI implanter Photoresist processing Others Test Assembly 1.2 2.1 2.1 5.5 3 2% 3% 3% 9% 5% TEL Teradyne ASMPT s Mattson Tech Advantest DISCO Cohu BE SEMI Back-end of the Process Front-end of the Process Front-end of the Process
  • 124. Wafer Fab Equipment Suppliers Landscape Thermal process/furnace: An area with relatively the local leader in this space Thermal processes are used for a variety of high- fabrications including dopant diffusion, thermal ox Exhibit 202: Global SPE market by equipment segment: US$61bn in 2019 Equipment segments Lithography Deposition Process control Thermal process Ion implanter Market size (US$ bn) 11.7 12.0 6.2 1.4 1.2 Mix 19% 20% 10% 2% 2% Applied Materials SCREEN ASML Applied Materials KLA Tokyo Electron AMAT LAM Research LAM Research Cannon LAM Research Nanometrics Applied Materials Axcelis Key suppliers Tokyo Electron Tokyo Electron Nikon TEL Applied Materials Hitachi Nissin NA RA ACM Research SMEE NA RA Hitachi Hightech NA RA Wanye AMEC NA RA Sypiotech Raintree Scientific Mattson 15.9 26% Etch and clean Green: major suppliers globally; Pink: China local supplier Source: Goldman Sachs Global Investment Research, Gao Hua Securities Research, Gartner Thermal process/furnace: An area with relatively the local leader in this space Source: Goldman Sachs Global Investment Research Exhibit 202: Global SPE market by equipment segment: US$61bn in 2019 Equipment segments Lithography Deposition Process control Thermal process Ion implanter Market size (US$ bn) 11.7 12.0 6.2 1.4 1.2 Mix 19% 20% 10% 2% 2% Applied Materials SCREEN ASML Applied Materials KLA Tokyo Electron AMAT LAM Research LAM Research Cannon LAM Research Nanometrics Applied Materials Axcelis Key suppliers Tokyo Electron Tokyo Electron Nikon TEL Applied Materials Hitachi Nissin NA RA ACM Research SMEE NA RA Hitachi Hightech NA RA Wanye AMEC NA RA Sypiotech Raintree Scientific Mattson 15.9 26% Etch and clean Green: major suppliers globally; Pink: China local supplier Source: Goldman Sachs Global Investment Research, Gao Hua Securities Research, Gartner Green = global suppliers Pink = China suppliers ce:GoldmanSachsGlobalInvestmentResearch hibit202:GlobalSPEmarketbyequipmentsegment:US$61bnin2019 quipment segments Lithography Deposition Process control Thermal process Ion implanter Photoresist processing arket size (US$ bn) 11.7 12.0 6.2 1.4 1.2 2.1 x 19% 20% 10% 2% 2% 3% Applied Materials SCREEN ASML Applied Materials KLA Tokyo Electron AMAT TEL LAM Research LAM Research Cannon LAM Research Nanometrics Applied Materials Axcelis Mattson Tech ey suppliers Tokyo Electron Tokyo Electron Nikon TEL Applied Materials Hitachi Nissin NA RA ACM Research SMEE NA RA Hitachi Hightech NA RA Wanye Kingsemi AMEC NA RA Sypiotech Raintree Scientific Mattson 15.9 26% Etch and clean n:majorsuppliersglobally;Pink:Chinalocalsupplier ce:GoldmanSachsGlobalInvestmentResearch,GaoHuaSecuritiesResearch,Gartner e:GoldmanSachsGlobalInvestmentResearch bit202:GlobalSPEmarketbyequipmentsegment:US$61bnin2019 ipment segments Lithography Deposition Process control Thermal process Ion implanter Photoresist processing ket size (US$ bn) 11.7 12.0 6.2 1.4 1.2 2.1 19% 20% 10% 2% 2% 3% Applied Materials SCREEN ASML Applied Materials KLA Tokyo Electron AMAT TEL LAM Research LAM Research Cannon LAM Research Nanometrics Applied Materials Axcelis Mattson Tech suppliers Tokyo Electron Tokyo Electron Nikon TEL Applied Materials Hitachi Nissin NA RA ACM Research SMEE NA RA Hitachi Hightech NA RA Wanye Kingsemi AMEC NA RA Sypiotech Raintree Scientific Mattson 15.9 26% Etch and clean entResearch ketbyequipmentsegment:US$61bnin2019 Lithography Deposition Process control Thermal process Ion implanter Photoresist processing Others Test 11.7 12.0 6.2 1.4 1.2 2.1 2.1 5.5 19% 20% 10% 2% 2% 3% 3% 9% SCREEN ASML Applied Materials KLA Tokyo Electron AMAT TEL Teradyne A LAM Research Cannon LAM Research Nanometrics Applied Materials Axcelis Mattson Tech Advantest D Tokyo Electron Nikon TEL Applied Materials Hitachi Nissin Cohu B ACM Research SMEE NA RA Hitachi Hightech NA RA Wanye Kingsemi AccoTest K NA RA Sypiotech Raintree Scientific Mattson Changchuan C clean hinalocalsupplier entResearch,GaoHuaSecuritiesResearch,Gartner ntResearch ketbyequipmentsegment:US$61bnin2019 Lithography Deposition Process control Thermal process Ion implanter Photoresist processing Others Test 11.7 12.0 6.2 1.4 1.2 2.1 2.1 5.5 19% 20% 10% 2% 2% 3% 3% 9% CREEN ASML Applied Materials KLA Tokyo Electron AMAT TEL Teradyne AS AM Research Cannon LAM Research Nanometrics Applied Materials Axcelis Mattson Tech Advantest DI okyo Electron Nikon TEL Applied Materials Hitachi Nissin Cohu BE CM Research SMEE NA RA Hitachi Hightech NA RA Wanye Kingsemi AccoTest K A RA Sypiotech Raintree Scientific Mattson Changchuan CE clean Others Test Assembly 2.1 5.5 3 3% 9% 5% Teradyne ASMPT Advantest DISCO Cohu BE SEMI AccoTest K S Changchuan CEC institute 45 Others Test Assembly 2.1 5.5 3 3% 9% 5% Teradyne ASMPT Advantest DISCO Cohu BE SEMI AccoTest K S Changchuan CEC institute 45 ent:US$61bnin2019 position Process control Thermal process Ion implanter Photoresist processing Others Test Assembly 12.0 6.2 1.4 1.2 2.1 2.1 5.5 3 20% 10% 2% 2% 3% 3% 9% 5% d Materials KLA Tokyo Electron AMAT TEL Teradyne ASMPT Research Nanometrics Applied Materials Axcelis Mattson Tech Advantest DISCO Applied Materials Hitachi Nissin Cohu BE SEMI A Hitachi Hightech NA RA Wanye Kingsemi AccoTest K S ech Raintree Scientific Mattson Changchuan CEC institute 45 ent:US$61bnin2019 eposition Process control Thermal process Ion implanter Photoresist processing Others Test Assembly 12.0 6.2 1.4 1.2 2.1 2.1 5.5 3 20% 10% 2% 2% 3% 3% 9% 5% d Materials KLA Tokyo Electron AMAT TEL Teradyne ASMPT Research Nanometrics Applied Materials Axcelis Mattson Tech Advantest DISCO Applied Materials Hitachi Nissin Cohu BE SEMI RA Hitachi Hightech NA RA Wanye Kingsemi AccoTest K S ech Raintree Scientific Mattson Changchuan CEC institute 45 Research,Gartner implanter Photoresist processing Others Test Assembly 1.2 2.1 2.1 5.5 3 2% 3% 3% 9% 5% T TEL Teradyne ASMPT is Mattson Tech Advantest DISCO n Cohu BE SEMI ye Kingsemi AccoTest K S Changchuan CEC institute 45 n implanter Photoresist processing Others Test Assembly 1.2 2.1 2.1 5.5 3 2% 3% 3% 9% 5% T TEL Teradyne ASMPT lis Mattson Tech Advantest DISCO n Cohu BE SEMI ye Kingsemi AccoTest K S Changchuan CEC institute 45
  • 125. As Transistors Shrink New Fab Equipment for Logic is Needed
  • 126. As Transistors Shrink New Fab Equipment for Memory is Needed Shrinking DRAMs further is hard • 2008 – 40nm-class –49nm to 40nm - or 4x • 2010 – 30nm-class – 39nm – 30nm – or 3x • 2011 – 20nm-class – 29nm – 20nm – or 2x • 2016 – 10nm-class – 19nm – 10nm – or 1x Today, vendors are still shipping at the 1xnm node with three sub-levels : • 1xnm – 19nm – 17nm (Gen1) • 1ynm – 16nm – 14nm (Gen 2) • 1znm – 13nm – 11nm (Gen 3) three more scaled generations of DRAM are on the roadmap, all still at the 1xnm node level • 1anm (Gen 4) • 1bnm (Gen 5) • 1cnm (Gen 6) Production of 3D NAND memory involves a stack of over 100 insulator and circuit layers, creating holes using etching systems, and filling those holes with doped silicon oxide
  • 127. Industry Shrink and EUV Insertion Source: ASML Figure 18. Industry Shrink Roadmap & EUV insertion Source: ASML In logic, EUV is being adopted in 7nm processes, mainly by TSMC and Samsung. For DRAM, while EUV adoption should begin in earnest from 1znm processes, Samsung is already using EUV tech for its 1xnm process, which we think is indicative of earlier-than-expected EUV adoption for memory. On the other hand, for Prepared for Brett Miller
  • 129. How Big Is It? – Wafer Size • Current fabs use silicon wafers ~8 inch 200mm or ~12 inch 300mm • 300mm wafers allow more chips to be made at the same time • Most new fabs are 300mm a broad customer base may produce up to several hundred different types of wafers. The production process of a semiconductor silicon wafer is illustrated in the below exhibit. Companies generally use in-house monocrystalline pulling equipment in the ingot manufacturing process. The average production lead time is 2 months. Purchasing contracts are usually 3-6 months, but could be extended to 12 months or longer, depending on the supply-demand situation and the customers involved. The key barriers in silicon wafer production The quality of silicon wafers can directly impact the yield rate of chip manufacturing. Key challenges in silicon wafer production are purity (requires 99.999999999% at least), Exhibit 253: Wafer sizes and their end applications Wafer size Process node Application 7-10nm High-end smartphone AP, CPU for PC / servers, GPU 14 / 16nm Smartphone AP, CPU, GPU 20-22nm DRAM, NAND, low-end smartphone AP, TV / set-top-box IC 28-32nm Wi-Fi Bluetooth IC, audio processing IC, TV / set-top-box IC 45-65nm CIS, RF IC, GPS / NFC IC, NOR flash 90nm-0.13um IoT IC, automobile MCU, RF IC, base station DSP 0.13-0.15um Fingerprint IC, PMIC, LED driver IC, sensors 0.18-0.25um CIS, eNVM 0.35-0.5um MOSFET, IGBT 0.5-1.2um MOSFET, IGBT, MEMS, diode, triode 12’’ 8’’ 2’’-6’’ Source: Data compiled by Goldman Sachs Global Investment Research China Semiconductors