SlideShare a Scribd company logo
1 of 13
Download to read offline
May 1, 2013
Methodology for
Mixed Mode
Design & Verification of Complex SoCs
May 1, 2013 Jan Pleskac, S3 Group
May 1, 2013
Agenda
Introduction
Mixed Signal SoC examples
Analog vs. digital design flow
Lessons from Mixed Mode Design & Verification projects
Conclusion
May 1, 2013
Founded in 1986
250+ Employees
Over 1000 IC tapeouts delivered
Complementary Services and Product
Portfolio
Advanced, independent MSIP
company
Highlights
Over 100 Million mobile phones
shipped with ICs designed by S3
Group
Satellite transceiver redesign
delivered an 85% BOM reduction
Complete Turnkey service, delivering
spec to packaged-tested parts
About S3 Group
May 1, 2013
Global Locations OFFICES
SALES & SUPPORT
San Jose, US Kfar Saba, Israel
Dublin / Cork, Ireland
Lisbon, Portugal
Wroclaw, Poland
Prague, Czech Republic
Singapore
New Jersey, US Beijing, China
Hong Kong
Eindhoven, The Netherlands
Shanghai
Chicago, US
May 1, 2013
Mixed Signal SoC examples
RF analog Front End with digital signal pre-processing
TX – DAC, Filter, Mixer, VGA, PA Driver
RX – LNA, Mixer, Programmable Filter, ADC
PLL, LDOs, Auxiliary ADCs, DACs, Temp sensor
RX/TX data interface, IC programming interface, GPIOs
Digital filtering and DSP, Power management
Analog blocks calibration, testing
Power management IC
POR, Reset/Clock distribution, ADCs, Temperature monitors/alarms, Programming interface
Smart Sensors
Ultra low power, Closed loop compensation/linearization control, Signal processing, uController
May 1, 2013
Mixed Mode Design & Verification
Architecture
Decide on TOP implementation flow – “analog on top” vs. “digital on top”
Early IC Floor Plan – package constrains (analog sensitive pads)
Design partitioning
– signal flow, operation modes, mission critical, power domains
– leveraging existing design data (internally developed building blocks)
– lP blocks selection
Identify Analog/Digital critical parts
– mixed mode co-simulation candidate – calibration, control loops, power sequencing
Top-level Functional Verification – co-simulation
– Integration checks on functionally verified analog and digital blocks
– Functional Requirements sign-off
May 1, 2013
Analog vs. Digital Characteristics
Analog
Bottom-Up
– focus on block level design (schematic,
models, performance)
– GUI based tools, visual inspection
– Sub-systems & TOP integration
– Block/Sub-Systems Model creation for top
verification (functional models)
– Power Estimations accurate early
– Hand Layout
Digital
Top-Down
– block level design and early integration
(reuse of verification environment)
– Text based, regressions, self-checking
– methodology and standards (strong reuse of
design patterns or blocks, coding style)
– Active specification – (IP-XACT registers
description)
– Accurate power estimations requires
simulation (post layout + extractions)
– Tool based automatic layout
May 1, 2013
Lesson #1
Analog and Digital team communication
Problem: Two different worlds, different mindset.
Solution:
Clear and consistent naming on IC architecture and interface signals from Day #1.
High level functional/architecture specification.
Focus on USE-CASEs – common language.
Co-Working rather then forcing someone to work in unfriendly environment.
May 1, 2013
Lesson #2
Respect the difference in flow/domain
Problem: Flows are disconnected and way different.
Solution:
Use appropriate tool or view for given task ( e.g. Modeling trade-off accuracy vs. speed).
Implement small digital macros for non-critical analog routing (e.g. configuration bus, decoders).
Uniform data formats for use in Analog/Digital standalone env., especially when 3rd party tool is
involved (e.g. Matlab data generators, files).
May 1, 2013
Lesson #3
Implementation phasing
Problem: It is not possible to finish digital controller until analog block is designed, but you have
to start someday… Late changes happen. Tight layout or performance issues may require
functional modifications (Power architecture, Filtering changes).
Solution:
Start to implement core functionality and structural parts (state machine, interval timers).
Use simplified functional models of analog block, or create behavioral representation of digital
block rather then creating RTL in early stages.
Run several implementation iterations, each step needs consistent views. Final implementation
“just configures soft core RTL”.
This require multiple runs of full digital flow RTL2-GDS2, important for change impact analysis
(area, power, routing).
Keep working top-level schematic – co-simulation on netlist with “dummy” views.
May 1, 2013
Lesson #4
Reuse of digital verification environment
Problem: Digital standalone verification covers majority of analog scenarios, but with rubbish
data.
Solution:
Build digital standalone verification test environment aware of analog content (constrain
meaningful data for USE-CASEs, Min-Mid-Max VGA code, ADC start-up, etc.)
Digital verification techniques like assertions, coverage are directly applicable to analog design.
Interface monitors can be re-connected from digital boundary to analog blocks instances.
Top-level mixed mode verification is just rerun of existing digital test.
UVM based digital verification environment is easily reconfigurable for co-simulation
environment.
May 1, 2013
Conclusion
Mixed mode design & verification is iterative process
Top – Down approach is must for parallel execution
Modeling at early design stages helps with implementation and verification
Effective reuse of digital verification environment require top-level verification planning
Mixed-Mode Functional Verification can be a DIGITAL task executed in ANALOG environment
Mixed-Mode Design & Verification is team work – COMMUNICATION matter
May 1, 2013
Thank You!
jan.pleskac@s3group.com

More Related Content

What's hot

Matlab Projects for Electrical Students
Matlab Projects for Electrical StudentsMatlab Projects for Electrical Students
Matlab Projects for Electrical StudentsPhdtopiccom
 
Matlab Projects for MCA Students
Matlab Projects for MCA StudentsMatlab Projects for MCA Students
Matlab Projects for MCA StudentsPhdtopiccom
 
Matlab Projects UK
Matlab Projects UKMatlab Projects UK
Matlab Projects UKPhdtopiccom
 
Jon_Berry_resume_2016
Jon_Berry_resume_2016Jon_Berry_resume_2016
Jon_Berry_resume_2016Jon Berry
 
Jon_Berry_resume_2016
Jon_Berry_resume_2016Jon_Berry_resume_2016
Jon_Berry_resume_2016Jon Berry
 
Ecd302 unit 01(investigate ecad systems)
Ecd302 unit 01(investigate ecad systems)Ecd302 unit 01(investigate ecad systems)
Ecd302 unit 01(investigate ecad systems)Xi Qiu
 
Overview Of I E C61850 Presentation..... W S M
Overview Of  I E C61850  Presentation..... W S MOverview Of  I E C61850  Presentation..... W S M
Overview Of I E C61850 Presentation..... W S Mginquesada
 
Matlab Thesis for Phd Students
Matlab Thesis for Phd StudentsMatlab Thesis for Phd Students
Matlab Thesis for Phd StudentsPhdtopiccom
 
Resume January2009
Resume January2009Resume January2009
Resume January2009mlegesse
 
Matlab Projects USA
Matlab Projects USAMatlab Projects USA
Matlab Projects USAPhdtopiccom
 

What's hot (12)

Matlab Projects for Electrical Students
Matlab Projects for Electrical StudentsMatlab Projects for Electrical Students
Matlab Projects for Electrical Students
 
Matlab Projects for MCA Students
Matlab Projects for MCA StudentsMatlab Projects for MCA Students
Matlab Projects for MCA Students
 
Shreeve dv club_ams
Shreeve dv club_amsShreeve dv club_ams
Shreeve dv club_ams
 
Matlab Projects UK
Matlab Projects UKMatlab Projects UK
Matlab Projects UK
 
Jon_Berry_resume_2016
Jon_Berry_resume_2016Jon_Berry_resume_2016
Jon_Berry_resume_2016
 
Jon_Berry_resume_2016
Jon_Berry_resume_2016Jon_Berry_resume_2016
Jon_Berry_resume_2016
 
Ankit Kalola
Ankit KalolaAnkit Kalola
Ankit Kalola
 
Ecd302 unit 01(investigate ecad systems)
Ecd302 unit 01(investigate ecad systems)Ecd302 unit 01(investigate ecad systems)
Ecd302 unit 01(investigate ecad systems)
 
Overview Of I E C61850 Presentation..... W S M
Overview Of  I E C61850  Presentation..... W S MOverview Of  I E C61850  Presentation..... W S M
Overview Of I E C61850 Presentation..... W S M
 
Matlab Thesis for Phd Students
Matlab Thesis for Phd StudentsMatlab Thesis for Phd Students
Matlab Thesis for Phd Students
 
Resume January2009
Resume January2009Resume January2009
Resume January2009
 
Matlab Projects USA
Matlab Projects USAMatlab Projects USA
Matlab Projects USA
 

Similar to TRACK C: Methodology for Mixed Mode Design & Verification of Complex SoCs/ Jan Pleskac

Gtechnology / GElectric
Gtechnology / GElectricGtechnology / GElectric
Gtechnology / GElectricGilbert Madrid
 
Tulinx introduction 20130622 detailed
Tulinx introduction 20130622   detailedTulinx introduction 20130622   detailed
Tulinx introduction 20130622 detailedarjen1970
 
IncQuery-D: Incremental Queries in the Cloud
IncQuery-D: Incremental Queries in the CloudIncQuery-D: Incremental Queries in the Cloud
IncQuery-D: Incremental Queries in the CloudGábor Szárnyas
 
Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...
Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...
Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...ijceronline
 
resume-8.1-software
resume-8.1-softwareresume-8.1-software
resume-8.1-softwareTianbo Zhang
 
Dr. Bernd GRAHLMANN and NXP automating testing with Telelogic DOORS @ NXP pre...
Dr. Bernd GRAHLMANN and NXP automating testing with Telelogic DOORS @ NXP pre...Dr. Bernd GRAHLMANN and NXP automating testing with Telelogic DOORS @ NXP pre...
Dr. Bernd GRAHLMANN and NXP automating testing with Telelogic DOORS @ NXP pre...Bernd Grahlmann
 
Mirabilis_Design AMD Versal System-Level IP Library
Mirabilis_Design AMD Versal System-Level IP LibraryMirabilis_Design AMD Versal System-Level IP Library
Mirabilis_Design AMD Versal System-Level IP LibraryDeepak Shankar
 
FPGA Design Challenges
FPGA Design ChallengesFPGA Design Challenges
FPGA Design ChallengesKrishna Gaihre
 
Accelerated development in Automotive E/E Systems using VisualSim Architect
Accelerated development in Automotive E/E Systems using VisualSim ArchitectAccelerated development in Automotive E/E Systems using VisualSim Architect
Accelerated development in Automotive E/E Systems using VisualSim ArchitectDeepak Shankar
 
Tool-Driven Technology Transfer in Software Engineering
Tool-Driven Technology Transfer in Software EngineeringTool-Driven Technology Transfer in Software Engineering
Tool-Driven Technology Transfer in Software EngineeringHeiko Koziolek
 
Webinar september 2013
Webinar september 2013Webinar september 2013
Webinar september 2013Marc Gille
 
IPv4 to IPv6 network transformation
IPv4 to IPv6 network transformationIPv4 to IPv6 network transformation
IPv4 to IPv6 network transformationNikolay Milovanov
 
System on Chip Design and Modelling Dr. David J Greaves
System on Chip Design and Modelling   Dr. David J GreavesSystem on Chip Design and Modelling   Dr. David J Greaves
System on Chip Design and Modelling Dr. David J GreavesSatya Harish
 

Similar to TRACK C: Methodology for Mixed Mode Design & Verification of Complex SoCs/ Jan Pleskac (20)

Ahmed Hassan CV_amin4
Ahmed Hassan CV_amin4Ahmed Hassan CV_amin4
Ahmed Hassan CV_amin4
 
Lear unified env_paper-1
Lear unified env_paper-1Lear unified env_paper-1
Lear unified env_paper-1
 
Gtechnology / GElectric
Gtechnology / GElectricGtechnology / GElectric
Gtechnology / GElectric
 
Tulinx introduction 20130622 detailed
Tulinx introduction 20130622   detailedTulinx introduction 20130622   detailed
Tulinx introduction 20130622 detailed
 
IncQuery-D: Incremental Queries in the Cloud
IncQuery-D: Incremental Queries in the CloudIncQuery-D: Incremental Queries in the Cloud
IncQuery-D: Incremental Queries in the Cloud
 
Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...
Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...
Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...
 
resume-8.1-software
resume-8.1-softwareresume-8.1-software
resume-8.1-software
 
Resume
ResumeResume
Resume
 
Dr. Bernd GRAHLMANN and NXP automating testing with Telelogic DOORS @ NXP pre...
Dr. Bernd GRAHLMANN and NXP automating testing with Telelogic DOORS @ NXP pre...Dr. Bernd GRAHLMANN and NXP automating testing with Telelogic DOORS @ NXP pre...
Dr. Bernd GRAHLMANN and NXP automating testing with Telelogic DOORS @ NXP pre...
 
Mirabilis_Design AMD Versal System-Level IP Library
Mirabilis_Design AMD Versal System-Level IP LibraryMirabilis_Design AMD Versal System-Level IP Library
Mirabilis_Design AMD Versal System-Level IP Library
 
Resume_of_SANTHOSHKUMAR_CHANDRASEKAR
Resume_of_SANTHOSHKUMAR_CHANDRASEKARResume_of_SANTHOSHKUMAR_CHANDRASEKAR
Resume_of_SANTHOSHKUMAR_CHANDRASEKAR
 
FPGA Design Challenges
FPGA Design ChallengesFPGA Design Challenges
FPGA Design Challenges
 
Prakash sahoo
Prakash sahooPrakash sahoo
Prakash sahoo
 
Sudha Madhuri Yagnamurthy Resume 2 (5)
Sudha Madhuri Yagnamurthy Resume 2 (5)Sudha Madhuri Yagnamurthy Resume 2 (5)
Sudha Madhuri Yagnamurthy Resume 2 (5)
 
Accelerated development in Automotive E/E Systems using VisualSim Architect
Accelerated development in Automotive E/E Systems using VisualSim ArchitectAccelerated development in Automotive E/E Systems using VisualSim Architect
Accelerated development in Automotive E/E Systems using VisualSim Architect
 
Tool-Driven Technology Transfer in Software Engineering
Tool-Driven Technology Transfer in Software EngineeringTool-Driven Technology Transfer in Software Engineering
Tool-Driven Technology Transfer in Software Engineering
 
Webinar september 2013
Webinar september 2013Webinar september 2013
Webinar september 2013
 
IPv4 to IPv6 network transformation
IPv4 to IPv6 network transformationIPv4 to IPv6 network transformation
IPv4 to IPv6 network transformation
 
Sarada Ojha CV
Sarada Ojha CVSarada Ojha CV
Sarada Ojha CV
 
System on Chip Design and Modelling Dr. David J Greaves
System on Chip Design and Modelling   Dr. David J GreavesSystem on Chip Design and Modelling   Dr. David J Greaves
System on Chip Design and Modelling Dr. David J Greaves
 

More from chiportal

Prof. Zhihua Wang, Tsinghua University, Beijing, China
Prof. Zhihua Wang, Tsinghua University, Beijing, China Prof. Zhihua Wang, Tsinghua University, Beijing, China
Prof. Zhihua Wang, Tsinghua University, Beijing, China chiportal
 
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...chiportal
 
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...chiportal
 
Prof. Uri Weiser,Technion
Prof. Uri Weiser,TechnionProf. Uri Weiser,Technion
Prof. Uri Weiser,Technionchiportal
 
Ken Liao, Senior Associate VP, Faraday
Ken Liao, Senior Associate VP, FaradayKen Liao, Senior Associate VP, Faraday
Ken Liao, Senior Associate VP, Faradaychiportal
 
Prof. Danny Raz, Director, Bell Labs Israel, Nokia
 Prof. Danny Raz, Director, Bell Labs Israel, Nokia  Prof. Danny Raz, Director, Bell Labs Israel, Nokia
Prof. Danny Raz, Director, Bell Labs Israel, Nokia chiportal
 
Marco Casale-Rossi, Product Mktg. Manager, Synopsys
Marco Casale-Rossi, Product Mktg. Manager, SynopsysMarco Casale-Rossi, Product Mktg. Manager, Synopsys
Marco Casale-Rossi, Product Mktg. Manager, Synopsyschiportal
 
Dr.Efraim Aharoni, ESD Leader, TowerJazz
Dr.Efraim Aharoni, ESD Leader, TowerJazzDr.Efraim Aharoni, ESD Leader, TowerJazz
Dr.Efraim Aharoni, ESD Leader, TowerJazzchiportal
 
Eddy Kvetny, System Engineering Group Leader, Intel
Eddy Kvetny, System Engineering Group Leader, IntelEddy Kvetny, System Engineering Group Leader, Intel
Eddy Kvetny, System Engineering Group Leader, Intelchiportal
 
Dr. John Bainbridge, Principal Application Architect, NetSpeed
 Dr. John Bainbridge, Principal Application Architect, NetSpeed  Dr. John Bainbridge, Principal Application Architect, NetSpeed
Dr. John Bainbridge, Principal Application Architect, NetSpeed chiportal
 
Xavier van Ruymbeke, App. Engineer, Arteris
Xavier van Ruymbeke, App. Engineer, ArterisXavier van Ruymbeke, App. Engineer, Arteris
Xavier van Ruymbeke, App. Engineer, Arterischiportal
 
Asi Lifshitz, VP R&D, Vtool
Asi Lifshitz, VP R&D, VtoolAsi Lifshitz, VP R&D, Vtool
Asi Lifshitz, VP R&D, Vtoolchiportal
 
Zvika Rozenshein,General Manager, EngineeringIQ
Zvika Rozenshein,General Manager, EngineeringIQZvika Rozenshein,General Manager, EngineeringIQ
Zvika Rozenshein,General Manager, EngineeringIQchiportal
 
Lewis Chu,Marketing Director,GUC
Lewis Chu,Marketing Director,GUC Lewis Chu,Marketing Director,GUC
Lewis Chu,Marketing Director,GUC chiportal
 
Kunal Varshney, VLSI Engineer, Open-Silicon
Kunal Varshney, VLSI Engineer, Open-SiliconKunal Varshney, VLSI Engineer, Open-Silicon
Kunal Varshney, VLSI Engineer, Open-Siliconchiportal
 
Gert Goossens,Sen. Director, ASIP Tools, Synopsys
Gert Goossens,Sen. Director, ASIP Tools, SynopsysGert Goossens,Sen. Director, ASIP Tools, Synopsys
Gert Goossens,Sen. Director, ASIP Tools, Synopsyschiportal
 
Tuvia Liran, Director of VLSI, Nano Retina
Tuvia Liran, Director of VLSI, Nano RetinaTuvia Liran, Director of VLSI, Nano Retina
Tuvia Liran, Director of VLSI, Nano Retinachiportal
 
Sagar Kadam, Lead Software Engineer, Open-Silicon
Sagar Kadam, Lead Software Engineer, Open-SiliconSagar Kadam, Lead Software Engineer, Open-Silicon
Sagar Kadam, Lead Software Engineer, Open-Siliconchiportal
 
Ronen Shtayer,Director of ASG Operations & PMO, NXP Semiconductor
Ronen Shtayer,Director of ASG Operations & PMO, NXP SemiconductorRonen Shtayer,Director of ASG Operations & PMO, NXP Semiconductor
Ronen Shtayer,Director of ASG Operations & PMO, NXP Semiconductorchiportal
 
Prof. Emanuel Cohen, Technion
Prof. Emanuel Cohen, TechnionProf. Emanuel Cohen, Technion
Prof. Emanuel Cohen, Technionchiportal
 

More from chiportal (20)

Prof. Zhihua Wang, Tsinghua University, Beijing, China
Prof. Zhihua Wang, Tsinghua University, Beijing, China Prof. Zhihua Wang, Tsinghua University, Beijing, China
Prof. Zhihua Wang, Tsinghua University, Beijing, China
 
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
 
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
 
Prof. Uri Weiser,Technion
Prof. Uri Weiser,TechnionProf. Uri Weiser,Technion
Prof. Uri Weiser,Technion
 
Ken Liao, Senior Associate VP, Faraday
Ken Liao, Senior Associate VP, FaradayKen Liao, Senior Associate VP, Faraday
Ken Liao, Senior Associate VP, Faraday
 
Prof. Danny Raz, Director, Bell Labs Israel, Nokia
 Prof. Danny Raz, Director, Bell Labs Israel, Nokia  Prof. Danny Raz, Director, Bell Labs Israel, Nokia
Prof. Danny Raz, Director, Bell Labs Israel, Nokia
 
Marco Casale-Rossi, Product Mktg. Manager, Synopsys
Marco Casale-Rossi, Product Mktg. Manager, SynopsysMarco Casale-Rossi, Product Mktg. Manager, Synopsys
Marco Casale-Rossi, Product Mktg. Manager, Synopsys
 
Dr.Efraim Aharoni, ESD Leader, TowerJazz
Dr.Efraim Aharoni, ESD Leader, TowerJazzDr.Efraim Aharoni, ESD Leader, TowerJazz
Dr.Efraim Aharoni, ESD Leader, TowerJazz
 
Eddy Kvetny, System Engineering Group Leader, Intel
Eddy Kvetny, System Engineering Group Leader, IntelEddy Kvetny, System Engineering Group Leader, Intel
Eddy Kvetny, System Engineering Group Leader, Intel
 
Dr. John Bainbridge, Principal Application Architect, NetSpeed
 Dr. John Bainbridge, Principal Application Architect, NetSpeed  Dr. John Bainbridge, Principal Application Architect, NetSpeed
Dr. John Bainbridge, Principal Application Architect, NetSpeed
 
Xavier van Ruymbeke, App. Engineer, Arteris
Xavier van Ruymbeke, App. Engineer, ArterisXavier van Ruymbeke, App. Engineer, Arteris
Xavier van Ruymbeke, App. Engineer, Arteris
 
Asi Lifshitz, VP R&D, Vtool
Asi Lifshitz, VP R&D, VtoolAsi Lifshitz, VP R&D, Vtool
Asi Lifshitz, VP R&D, Vtool
 
Zvika Rozenshein,General Manager, EngineeringIQ
Zvika Rozenshein,General Manager, EngineeringIQZvika Rozenshein,General Manager, EngineeringIQ
Zvika Rozenshein,General Manager, EngineeringIQ
 
Lewis Chu,Marketing Director,GUC
Lewis Chu,Marketing Director,GUC Lewis Chu,Marketing Director,GUC
Lewis Chu,Marketing Director,GUC
 
Kunal Varshney, VLSI Engineer, Open-Silicon
Kunal Varshney, VLSI Engineer, Open-SiliconKunal Varshney, VLSI Engineer, Open-Silicon
Kunal Varshney, VLSI Engineer, Open-Silicon
 
Gert Goossens,Sen. Director, ASIP Tools, Synopsys
Gert Goossens,Sen. Director, ASIP Tools, SynopsysGert Goossens,Sen. Director, ASIP Tools, Synopsys
Gert Goossens,Sen. Director, ASIP Tools, Synopsys
 
Tuvia Liran, Director of VLSI, Nano Retina
Tuvia Liran, Director of VLSI, Nano RetinaTuvia Liran, Director of VLSI, Nano Retina
Tuvia Liran, Director of VLSI, Nano Retina
 
Sagar Kadam, Lead Software Engineer, Open-Silicon
Sagar Kadam, Lead Software Engineer, Open-SiliconSagar Kadam, Lead Software Engineer, Open-Silicon
Sagar Kadam, Lead Software Engineer, Open-Silicon
 
Ronen Shtayer,Director of ASG Operations & PMO, NXP Semiconductor
Ronen Shtayer,Director of ASG Operations & PMO, NXP SemiconductorRonen Shtayer,Director of ASG Operations & PMO, NXP Semiconductor
Ronen Shtayer,Director of ASG Operations & PMO, NXP Semiconductor
 
Prof. Emanuel Cohen, Technion
Prof. Emanuel Cohen, TechnionProf. Emanuel Cohen, Technion
Prof. Emanuel Cohen, Technion
 

Recently uploaded

Landscape Catalogue 2024 Australia-1.pdf
Landscape Catalogue 2024 Australia-1.pdfLandscape Catalogue 2024 Australia-1.pdf
Landscape Catalogue 2024 Australia-1.pdfAarwolf Industries LLC
 
QMMS Lesson 2 - Using MS Excel Formula.pdf
QMMS Lesson 2 - Using MS Excel Formula.pdfQMMS Lesson 2 - Using MS Excel Formula.pdf
QMMS Lesson 2 - Using MS Excel Formula.pdfROWELL MARQUINA
 
Varsha Sewlal- Cyber Attacks on Critical Critical Infrastructure
Varsha Sewlal- Cyber Attacks on Critical Critical InfrastructureVarsha Sewlal- Cyber Attacks on Critical Critical Infrastructure
Varsha Sewlal- Cyber Attacks on Critical Critical Infrastructureitnewsafrica
 
Français Patch Tuesday - Avril
Français Patch Tuesday - AvrilFrançais Patch Tuesday - Avril
Français Patch Tuesday - AvrilIvanti
 
UiPath Community: Communication Mining from Zero to Hero
UiPath Community: Communication Mining from Zero to HeroUiPath Community: Communication Mining from Zero to Hero
UiPath Community: Communication Mining from Zero to HeroUiPathCommunity
 
Why device, WIFI, and ISP insights are crucial to supporting remote Microsoft...
Why device, WIFI, and ISP insights are crucial to supporting remote Microsoft...Why device, WIFI, and ISP insights are crucial to supporting remote Microsoft...
Why device, WIFI, and ISP insights are crucial to supporting remote Microsoft...panagenda
 
Infrared simulation and processing on Nvidia platforms
Infrared simulation and processing on Nvidia platformsInfrared simulation and processing on Nvidia platforms
Infrared simulation and processing on Nvidia platformsYoss Cohen
 
Connecting the Dots for Information Discovery.pdf
Connecting the Dots for Information Discovery.pdfConnecting the Dots for Information Discovery.pdf
Connecting the Dots for Information Discovery.pdfNeo4j
 
Email Marketing Automation for Bonterra Impact Management (fka Social Solutio...
Email Marketing Automation for Bonterra Impact Management (fka Social Solutio...Email Marketing Automation for Bonterra Impact Management (fka Social Solutio...
Email Marketing Automation for Bonterra Impact Management (fka Social Solutio...Jeffrey Haguewood
 
Potential of AI (Generative AI) in Business: Learnings and Insights
Potential of AI (Generative AI) in Business: Learnings and InsightsPotential of AI (Generative AI) in Business: Learnings and Insights
Potential of AI (Generative AI) in Business: Learnings and InsightsRavi Sanghani
 
Microsoft 365 Copilot: How to boost your productivity with AI – Part two: Dat...
Microsoft 365 Copilot: How to boost your productivity with AI – Part two: Dat...Microsoft 365 Copilot: How to boost your productivity with AI – Part two: Dat...
Microsoft 365 Copilot: How to boost your productivity with AI – Part two: Dat...Nikki Chapple
 
Arizona Broadband Policy Past, Present, and Future Presentation 3/25/24
Arizona Broadband Policy Past, Present, and Future Presentation 3/25/24Arizona Broadband Policy Past, Present, and Future Presentation 3/25/24
Arizona Broadband Policy Past, Present, and Future Presentation 3/25/24Mark Goldstein
 
Genislab builds better products and faster go-to-market with Lean project man...
Genislab builds better products and faster go-to-market with Lean project man...Genislab builds better products and faster go-to-market with Lean project man...
Genislab builds better products and faster go-to-market with Lean project man...Farhan Tariq
 
[Webinar] SpiraTest - Setting New Standards in Quality Assurance
[Webinar] SpiraTest - Setting New Standards in Quality Assurance[Webinar] SpiraTest - Setting New Standards in Quality Assurance
[Webinar] SpiraTest - Setting New Standards in Quality AssuranceInflectra
 
QCon London: Mastering long-running processes in modern architectures
QCon London: Mastering long-running processes in modern architecturesQCon London: Mastering long-running processes in modern architectures
QCon London: Mastering long-running processes in modern architecturesBernd Ruecker
 
JET Technology Labs White Paper for Virtualized Security and Encryption Techn...
JET Technology Labs White Paper for Virtualized Security and Encryption Techn...JET Technology Labs White Paper for Virtualized Security and Encryption Techn...
JET Technology Labs White Paper for Virtualized Security and Encryption Techn...amber724300
 
React JS; all concepts. Contains React Features, JSX, functional & Class comp...
React JS; all concepts. Contains React Features, JSX, functional & Class comp...React JS; all concepts. Contains React Features, JSX, functional & Class comp...
React JS; all concepts. Contains React Features, JSX, functional & Class comp...Karmanjay Verma
 
Digital Tools & AI in Career Development
Digital Tools & AI in Career DevelopmentDigital Tools & AI in Career Development
Digital Tools & AI in Career DevelopmentMahmoud Rabie
 
The Future Roadmap for the Composable Data Stack - Wes McKinney - Data Counci...
The Future Roadmap for the Composable Data Stack - Wes McKinney - Data Counci...The Future Roadmap for the Composable Data Stack - Wes McKinney - Data Counci...
The Future Roadmap for the Composable Data Stack - Wes McKinney - Data Counci...Wes McKinney
 
Top 10 Hubspot Development Companies in 2024
Top 10 Hubspot Development Companies in 2024Top 10 Hubspot Development Companies in 2024
Top 10 Hubspot Development Companies in 2024TopCSSGallery
 

Recently uploaded (20)

Landscape Catalogue 2024 Australia-1.pdf
Landscape Catalogue 2024 Australia-1.pdfLandscape Catalogue 2024 Australia-1.pdf
Landscape Catalogue 2024 Australia-1.pdf
 
QMMS Lesson 2 - Using MS Excel Formula.pdf
QMMS Lesson 2 - Using MS Excel Formula.pdfQMMS Lesson 2 - Using MS Excel Formula.pdf
QMMS Lesson 2 - Using MS Excel Formula.pdf
 
Varsha Sewlal- Cyber Attacks on Critical Critical Infrastructure
Varsha Sewlal- Cyber Attacks on Critical Critical InfrastructureVarsha Sewlal- Cyber Attacks on Critical Critical Infrastructure
Varsha Sewlal- Cyber Attacks on Critical Critical Infrastructure
 
Français Patch Tuesday - Avril
Français Patch Tuesday - AvrilFrançais Patch Tuesday - Avril
Français Patch Tuesday - Avril
 
UiPath Community: Communication Mining from Zero to Hero
UiPath Community: Communication Mining from Zero to HeroUiPath Community: Communication Mining from Zero to Hero
UiPath Community: Communication Mining from Zero to Hero
 
Why device, WIFI, and ISP insights are crucial to supporting remote Microsoft...
Why device, WIFI, and ISP insights are crucial to supporting remote Microsoft...Why device, WIFI, and ISP insights are crucial to supporting remote Microsoft...
Why device, WIFI, and ISP insights are crucial to supporting remote Microsoft...
 
Infrared simulation and processing on Nvidia platforms
Infrared simulation and processing on Nvidia platformsInfrared simulation and processing on Nvidia platforms
Infrared simulation and processing on Nvidia platforms
 
Connecting the Dots for Information Discovery.pdf
Connecting the Dots for Information Discovery.pdfConnecting the Dots for Information Discovery.pdf
Connecting the Dots for Information Discovery.pdf
 
Email Marketing Automation for Bonterra Impact Management (fka Social Solutio...
Email Marketing Automation for Bonterra Impact Management (fka Social Solutio...Email Marketing Automation for Bonterra Impact Management (fka Social Solutio...
Email Marketing Automation for Bonterra Impact Management (fka Social Solutio...
 
Potential of AI (Generative AI) in Business: Learnings and Insights
Potential of AI (Generative AI) in Business: Learnings and InsightsPotential of AI (Generative AI) in Business: Learnings and Insights
Potential of AI (Generative AI) in Business: Learnings and Insights
 
Microsoft 365 Copilot: How to boost your productivity with AI – Part two: Dat...
Microsoft 365 Copilot: How to boost your productivity with AI – Part two: Dat...Microsoft 365 Copilot: How to boost your productivity with AI – Part two: Dat...
Microsoft 365 Copilot: How to boost your productivity with AI – Part two: Dat...
 
Arizona Broadband Policy Past, Present, and Future Presentation 3/25/24
Arizona Broadband Policy Past, Present, and Future Presentation 3/25/24Arizona Broadband Policy Past, Present, and Future Presentation 3/25/24
Arizona Broadband Policy Past, Present, and Future Presentation 3/25/24
 
Genislab builds better products and faster go-to-market with Lean project man...
Genislab builds better products and faster go-to-market with Lean project man...Genislab builds better products and faster go-to-market with Lean project man...
Genislab builds better products and faster go-to-market with Lean project man...
 
[Webinar] SpiraTest - Setting New Standards in Quality Assurance
[Webinar] SpiraTest - Setting New Standards in Quality Assurance[Webinar] SpiraTest - Setting New Standards in Quality Assurance
[Webinar] SpiraTest - Setting New Standards in Quality Assurance
 
QCon London: Mastering long-running processes in modern architectures
QCon London: Mastering long-running processes in modern architecturesQCon London: Mastering long-running processes in modern architectures
QCon London: Mastering long-running processes in modern architectures
 
JET Technology Labs White Paper for Virtualized Security and Encryption Techn...
JET Technology Labs White Paper for Virtualized Security and Encryption Techn...JET Technology Labs White Paper for Virtualized Security and Encryption Techn...
JET Technology Labs White Paper for Virtualized Security and Encryption Techn...
 
React JS; all concepts. Contains React Features, JSX, functional & Class comp...
React JS; all concepts. Contains React Features, JSX, functional & Class comp...React JS; all concepts. Contains React Features, JSX, functional & Class comp...
React JS; all concepts. Contains React Features, JSX, functional & Class comp...
 
Digital Tools & AI in Career Development
Digital Tools & AI in Career DevelopmentDigital Tools & AI in Career Development
Digital Tools & AI in Career Development
 
The Future Roadmap for the Composable Data Stack - Wes McKinney - Data Counci...
The Future Roadmap for the Composable Data Stack - Wes McKinney - Data Counci...The Future Roadmap for the Composable Data Stack - Wes McKinney - Data Counci...
The Future Roadmap for the Composable Data Stack - Wes McKinney - Data Counci...
 
Top 10 Hubspot Development Companies in 2024
Top 10 Hubspot Development Companies in 2024Top 10 Hubspot Development Companies in 2024
Top 10 Hubspot Development Companies in 2024
 

TRACK C: Methodology for Mixed Mode Design & Verification of Complex SoCs/ Jan Pleskac

  • 1. May 1, 2013 Methodology for Mixed Mode Design & Verification of Complex SoCs May 1, 2013 Jan Pleskac, S3 Group
  • 2. May 1, 2013 Agenda Introduction Mixed Signal SoC examples Analog vs. digital design flow Lessons from Mixed Mode Design & Verification projects Conclusion
  • 3. May 1, 2013 Founded in 1986 250+ Employees Over 1000 IC tapeouts delivered Complementary Services and Product Portfolio Advanced, independent MSIP company Highlights Over 100 Million mobile phones shipped with ICs designed by S3 Group Satellite transceiver redesign delivered an 85% BOM reduction Complete Turnkey service, delivering spec to packaged-tested parts About S3 Group
  • 4. May 1, 2013 Global Locations OFFICES SALES & SUPPORT San Jose, US Kfar Saba, Israel Dublin / Cork, Ireland Lisbon, Portugal Wroclaw, Poland Prague, Czech Republic Singapore New Jersey, US Beijing, China Hong Kong Eindhoven, The Netherlands Shanghai Chicago, US
  • 5. May 1, 2013 Mixed Signal SoC examples RF analog Front End with digital signal pre-processing TX – DAC, Filter, Mixer, VGA, PA Driver RX – LNA, Mixer, Programmable Filter, ADC PLL, LDOs, Auxiliary ADCs, DACs, Temp sensor RX/TX data interface, IC programming interface, GPIOs Digital filtering and DSP, Power management Analog blocks calibration, testing Power management IC POR, Reset/Clock distribution, ADCs, Temperature monitors/alarms, Programming interface Smart Sensors Ultra low power, Closed loop compensation/linearization control, Signal processing, uController
  • 6. May 1, 2013 Mixed Mode Design & Verification Architecture Decide on TOP implementation flow – “analog on top” vs. “digital on top” Early IC Floor Plan – package constrains (analog sensitive pads) Design partitioning – signal flow, operation modes, mission critical, power domains – leveraging existing design data (internally developed building blocks) – lP blocks selection Identify Analog/Digital critical parts – mixed mode co-simulation candidate – calibration, control loops, power sequencing Top-level Functional Verification – co-simulation – Integration checks on functionally verified analog and digital blocks – Functional Requirements sign-off
  • 7. May 1, 2013 Analog vs. Digital Characteristics Analog Bottom-Up – focus on block level design (schematic, models, performance) – GUI based tools, visual inspection – Sub-systems & TOP integration – Block/Sub-Systems Model creation for top verification (functional models) – Power Estimations accurate early – Hand Layout Digital Top-Down – block level design and early integration (reuse of verification environment) – Text based, regressions, self-checking – methodology and standards (strong reuse of design patterns or blocks, coding style) – Active specification – (IP-XACT registers description) – Accurate power estimations requires simulation (post layout + extractions) – Tool based automatic layout
  • 8. May 1, 2013 Lesson #1 Analog and Digital team communication Problem: Two different worlds, different mindset. Solution: Clear and consistent naming on IC architecture and interface signals from Day #1. High level functional/architecture specification. Focus on USE-CASEs – common language. Co-Working rather then forcing someone to work in unfriendly environment.
  • 9. May 1, 2013 Lesson #2 Respect the difference in flow/domain Problem: Flows are disconnected and way different. Solution: Use appropriate tool or view for given task ( e.g. Modeling trade-off accuracy vs. speed). Implement small digital macros for non-critical analog routing (e.g. configuration bus, decoders). Uniform data formats for use in Analog/Digital standalone env., especially when 3rd party tool is involved (e.g. Matlab data generators, files).
  • 10. May 1, 2013 Lesson #3 Implementation phasing Problem: It is not possible to finish digital controller until analog block is designed, but you have to start someday… Late changes happen. Tight layout or performance issues may require functional modifications (Power architecture, Filtering changes). Solution: Start to implement core functionality and structural parts (state machine, interval timers). Use simplified functional models of analog block, or create behavioral representation of digital block rather then creating RTL in early stages. Run several implementation iterations, each step needs consistent views. Final implementation “just configures soft core RTL”. This require multiple runs of full digital flow RTL2-GDS2, important for change impact analysis (area, power, routing). Keep working top-level schematic – co-simulation on netlist with “dummy” views.
  • 11. May 1, 2013 Lesson #4 Reuse of digital verification environment Problem: Digital standalone verification covers majority of analog scenarios, but with rubbish data. Solution: Build digital standalone verification test environment aware of analog content (constrain meaningful data for USE-CASEs, Min-Mid-Max VGA code, ADC start-up, etc.) Digital verification techniques like assertions, coverage are directly applicable to analog design. Interface monitors can be re-connected from digital boundary to analog blocks instances. Top-level mixed mode verification is just rerun of existing digital test. UVM based digital verification environment is easily reconfigurable for co-simulation environment.
  • 12. May 1, 2013 Conclusion Mixed mode design & verification is iterative process Top – Down approach is must for parallel execution Modeling at early design stages helps with implementation and verification Effective reuse of digital verification environment require top-level verification planning Mixed-Mode Functional Verification can be a DIGITAL task executed in ANALOG environment Mixed-Mode Design & Verification is team work – COMMUNICATION matter
  • 13. May 1, 2013 Thank You! jan.pleskac@s3group.com