SlideShare a Scribd company logo
1 of 9
Download to read offline
Fabrication of Microfluidic Channels In
Glass and Silicon
Brent Auyong, Yichen Sun
Abstract – Acoustic particle focusing microfluidic devices have recently received a growing interest as Point of Care (POC)
diagnostic tools.Effective fabrication techniques are fundamental to the functionality of these devices. In this report, we
discuss the fabrication techniques used to create acoustic particle focusing microfluidic devices in silicon and glass. We
also discuss experimental results that validate our devices’ effectiveness at focusing various particles. Despite difficulties
with our devices’ operation, the results illustrate that the micro channels were effective at focusing particles at the
expected focusing frequencies.
Index Terms – Anisotropic Wet Etching, Isotropic Wet Etching, Point of Care Diagnostics, Acoustic Particle Focusing
Introduction
The growing interest to develop robust Point
of Care diagnostic tools (POC), such as a device
to separate cells from particles using acoustic
waves in biological fluids, may have important
implications towards the improved detection of
protein biomarkers [1]. Other POCs use
dielectrophoresis to separate living cells from
non-living cells in biological fluids to improve on
particle separation capabilities [2]. Both
examples illustrate the importance of
understanding lithographic fabrication
techniques for both silicon and glass substrates.
Traditional microelectromechanical systems
(MEMS) were primarily fabricated from single-
crystal silicon wafers using processes such as
silicon, metal and oxide deposition to build
devices for a multitude of applications [3].
These processes allowed for a variety of
electrical components such as insulators,
conductors, resistors, transistors, etc, to be built
on the micro and nano scale level. In the field of
microfluidics, many of these fabrication
techniques have been carried over. These
techniques are categorized into three
approaches: surface micro-machining, buried-
channel and bulk micromachining [4]. The
surface micro-machining approach uses
structural layers coupled with patterned
sacrificial layers, to produce multi-layered micro
features on a substrate [4]. The buried-channel
approach uses anisotropic deep ion reactive
etching to create large cavities deep in a
substrate with small inlets connecting it to the
surface [4]. Bulk micromachining uses a variety
of etching techniques to remove areas of
material from a substrate [4]. The bulk micro
machining fabrication approach will be the
primary focus of this report.
Bulk micro machining in glass or silicon is
accomplished using two main fabrication
techniques, dry etching and wet etching. Dry
etching is a process that removes material from
a substrate through bombardment of ions in a
gas mixture. Typically, the gas mixture used in
the dry etching process depends on the
substrate and the material being removed. For
silicon substrates, dry etching creates isotropic
and anisotropic profiles. For glass substrates,
only anisotropic profiles can be achieved. In
contrast, wet etching uses solvents to remove
material from a substrate. Both silicon and glass
etch in an isotropic manner with the exception
that etch rates in silicon depend on the
crystallographic orientation. Solvent selection is
based on the material being etched away and
the desired etch rate. In our module design,
these different types of bulk micro machining
processes were implemented during the
fabrication of two microfluidic devices. We used
a combination of fabrication techniques to create
micro channel geometries in glass and silicon.
Then, we used the fabricated channels to
conduct acoustic particle focusing and
separation testing. Based on the geometries of
each micro channel, an estimated focusing
frequency was calculated using Eq. 1.
𝑓𝑓 =
𝑛𝑛𝑛𝑛
2𝐿𝐿
(1)
These frequencies were then compared to
actual observed focusing frequencies for each of
the channels.
This report will discuss the following bulk
micro machining techniques specifically:
isotropic wet etching in glass, KOH wet etching
in silicon and reactive ion etching through an
oxide layer. The report will be organized into
four main sections: Introduction, Methods,
Results/Discussion and Conclusion.
Methods
Silicon Channel Fabrication
Oxidation of Silicon
A common starting point for fabricating
micro channels in silicon is to first grow an oxide
layer. Based on our desired channel result, it
was determined that an oxide layer thickness of
800 nm was needed. By using a simplified
Deal-Grove model of thermal oxidation, we
calculated the time necessary to grow an 800
nm oxide layer at 1100°C [7]. Eq. 2 is the
simplified Deal-Grove model used.
tOX=�B(t + τ) (2)
where tOX is the oxide thickness, B is the
parabolic rate constant determined by different
oxidation conditions, t is the required time of
oxidation in hours and τ is a time factor
determined by the initial oxide thickness. In our
case, constant B is 0.03 μm2/hr at 1100°C
according to Figure 1, and τ is 0.076 hr
according to Table 1. Therefore, it was
estimated that approximately 22 hours was
required to achieve an 800 nm thick oxide layer.
However, we determined experimentally that it
actually takes 80 hours to produce an 800 nm
oxide layer.
Figure 2 shows the Lindberg/Blue M 3-Zone
Tube Furnace, used to produce oxide layers on
silicon wafers. The furnace uses a multiple
chamber configuration to uniformly heat the
silicon wafers and produce a uniform layer of
oxide. We inserted the silicon wafers near the
center of the furnace, set a target temperature at
1100°C for each chamber and let the chamber
heat up with a ramp time of 2 hours. The
temperature was maintained at 1100°C for 80
hours; then we stopped heating to finish thermal
oxidation and let the chambers cool down to
ambient temperature at normal rate.
The thickness of the oxide layer was then
measured with a J.A. Woollam Co. α-SE
Ellipsometer to confirm our oxide growth
parameters.
Photolithography
Once the 800nm oxide layer was grown on a
silicon wafer, photolithography was used to
pattern the desired micro channel geometries.
Since the oxide layer is naturally hydrophilic,
steps need to be taken to prevent the developer
and etching chemicals from penetrating the
resist/substrate interface and causing extreme
etchant undercutting and complete delamination
of the photoresist film[8]. A layer of MCC Primer
80/20 (HMDS), was applied prior to spin-coating
photoresist, which helps to promote adhesion
between oxide layer and photoresist layer to be
coated later. A Brewer Science CEE Spin
Figure 1. Linear and Parabolic Oxidation Rate Constants
Figure 2. Lindberg/Blue M 3-Zone Tube Furnace
Table 1.Thermal Oxidation Parameters under Different Conditions
Coater was used to deposit a layer of positive
photoresist, Shipley S1827, onto the wafer
surface. The spin coater first ramped up by 100
rpm/s for 5 seconds to 500 rpm, then held at
2000 rpm for 30 seconds with ramp of 500
rpm/s, and finally decelerated with a ramp of 500
rpm/s for 4 seconds. Next, we soft-baked the
photoresist on a hotplate at 115°C for 40
seconds and exposed it using a Karl Suss MJB-
3 Mask Aligner. The wafer was exposed to UV
light at 7.71 mW/cm2 for 40 seconds to obtain a
manufacture-recommended exposure dose of
300 mJ/cm2. The wafer was then hard-baked on
a hot plate at 120°C for another 10 minutes to
make firm the photoresist layer and thus
preventing potential contamination of reactive
ion etching chamber later when clamped. A
profilometer was used to measure the
photoresist channel depth Pr and ensure the
correct photoresist layer was achieved.
Reactive Ion Etching (RIE)
Once the channels geometries were defined
by the photoresist, reactive ion etching (RIE)
was used to etch the channel geometries into
the oxide layer. Figure 3 shows the Oxford
Instrument Plasmalab System 100, which was
used to perform RIE. The machine uses a 2.54
GHz plasma source in the inductively coupled
plasma module (ICP) to generate high-density
plasma. The generated plasma ionizes reactive
gases and the resulting ions bombard the wafer
surface in the main reaction chamber. Through
chemical reaction and physical momentum
transfer, volatile products are produced and
pumped away.
The prepared wafer was attached to a 4’’
wafer to mount in the machine and a small piece
of silicon was added to surface. The surface
below will not be etched which will allow the etch
rate and selectivity after a 15 minute RIE etch to
be measured. The wafer was then placed in the
small loading chamber, and after pumping to
2.5×10-2 torr by a roughing pump, the locked
gate connecting the loading chamber to the full
chamber was lifted and the wafer was inserted
into the full chamber.
The loading chamber has a small volume
that is easy to pull rough vacuum, and the full
chamber has its volume 30 times larger than
that of the loading chamber, and is always
maintained at high vacuum. So after the wafer
was inserted and the connecting gate locked up
again, the full chamber was pumped to 7.5×10-9
torr to pump away ambience and other
unwanted molecules, preventing undesired ions
during RIE. We next started oxide etch recipe
and 40 sccm (standard cubic centimeters per
minute) CF4 and 5 sccm oxygen were introduced
into the reaction chamber to etch SiO2 layer. The
plasma ionizes the CF4 to create reactive
fluoride ions which react with SiO2 to form SiF4
(gas) and other gaseous products. After 15
minutes etch, the reaction chamber was pumped
down to 7.5×10-9 torr again to pump away used
gases, preventing potential pollution to the
cleanroom.
The wafer was then taken out for a
measurement. A schematic diagram of the
measurement is illustrated in Figure 4. Etched
photoresist height ΔPr and height between
etched photoresist and etched oxide ΔPr-Ox
were measured.
The etch rates of photoresist and oxide layer
was then determined by Eq. 3.
RPR=ΔPr/t; ROX= (ΔPr-Ox+ΔPr - Pr)/t (3)
where t is the etching time, and we could
estimate the remaining RIE etch time. According
to our estimate, we repeated previous RIE
procedures for another 17-minute etch.
Figure 4. Schematic Diagram of Etch Rates
Measurement
Figure 3. Oxford Instruments Plasmalab System
100 and Schematic Diagram of RIE
Stripping of Photoresist
We used acetone to strip away any
remaining photoresist, cleaned the wafer with
deionized water and dried with nitrogen spray.
We next took the wafer for another profilometry
measurement to determine the oxide channel
depth and checked if the oxide layer was totally
removed.
Wet Etching of Silicon
We next aimed to etch channels into silicon
wafer masked by patterned oxide layer by wet
etching. Potassium hydroxide (KOH) can etch in
both [1 0 0] and [1 1 0] directions but almost no
etching effect on [1 1 1] direction. KOH has a
much higher etching rate of silicon compared to
the etching rate of silicon dioxide, so we can use
silicon dioxide as an etching mask in KOH
etching.
In our experiment, the silicon wafer has its
surface an [1 0 0] plane, and we carefully
aligned the channels so that they would be
widened and deepened but not extended. Figure
5 shows our silicon wafer after fabrication.
The patterned silicon wafer was mounted in
a PEEK holder, and its back surface was
protected while its top surface exposed to the
KOH solution. Figure 6 and 7 shows the wet
etching setup and its schematic diagram
respectively.
The hotplate was set at 125°C, and the Teflon
magnetic stirrer was kept stirring at 600 rpm to
increase diffusion of produced hydrogen
bubbles. The solution temperatures at the
beginning and in the end were 60.8°C and 63°C
respectively, and the temperature was
monitored by a thermometer throughout the
etching. To etch a 60-80 um deep channel, an
etching time of 7 hours was expected. During
etching, the oxide mask layer was more
resistant to KOH and protected the backside of
the wafer from etching. Upon completion of the 7
hour etching, the wafer was removed from the
solution and holder, then rinsed with deionized
water, and took for a measurement under
microscope for its etched thickness.
Stripping of Oxide Layer
The next step was to remove the remaining
oxide layer after the KOH etch. A buffered oxide
etchant (BOE) was chosen to accomplish this
task due to its controllable etching rates. A
buffered oxide etchant is a mixture of a buffering
agent and an acid. In this case, ammonium
fluoride (NH4F) and hydrofluoric acid (HF)
created the BOE used.
The wafer was placed into a Teflon tray and
immersed in BOE etchant for 1 minute. The
wafer was rinsed with DI water to stop etching
process and nitrogen-dried. The wafer was then
measured with ellipsometry for remaining oxide
layer thickness, and the 1 minute step BOE
etching was repeated 4 times until the oxide
layer was totally removed. Figure 8 shows the
equipment used in BOE etching.
Figure 5. Fabricated Silicon Wafer and Channels
Figure 6. Experimental Setup
of KOH Wet Etching
Figure 7. Schematic Diagram of KOH Wet Etching
Figure 8. BOE Etching Setup
It is necessary to notice that during glass
etching, special personal protective equipment
(PPE) was needed for safety purposes.
Neoprene gloves, an apron and a face shield
were required to be worn during the BOE
etching process. And during operation, we use a
Teflon tray to contain all equipment and avoid
agitating the hydrofluoric acid solution. After
etching, we rinsed the wafer twice to dilute
remaining etchant and collected liquid waste in
special containers.
Silicon Channel Bonding
To prepare our silicon channel for acoustic
testing, holes are drilled at the back of the silicon
wafer as inlets and outlets, and a thin oxide
layer with a thickness of 200 nm was grown to
make the channels hydrophillic. The front
surface of silicon micro channels were then
plasma treated and bonded to PDMS.
Glass Channel Fabrication
Photolithography
A chrome coated glass blank was used as
the starting point for micro channel fabrication.
A 0.5 um thick layer of positive photoresist AZ-
1500 was spun on the chrome layer and
softbaked. We exposed the substrate under UV
light of an intensity 7.83mW/cm2 for 16 seconds,
and the exposed photoresist received a dose
around 120mJ/cm2.
We then used developer MF-319 to develop
for exactly 55 seconds, and as AZ-1500 is a
positive photoresist, regions exposed to UV light
become preferentially soluble in the developer. It
should be noted that overdevelopment of the
unexposed photoresist is a possibility. Lastly,
we put the glass substrate into chrome etchant
1020 for approximately 1 minute
Wet Etching of Glass
After chrome etching, we used deionized
water to clean the substrate and prepared to
etch into glass. We mixed 69% (w%w) nitric
acid, 49% (w%w) hydrofluoric acid and
deionized water at a ratio of 1:2:6 as the glass
etchant; and to be specific, we put 20 ml 69%
nitric acid and 40 ml 49% hydrofluoric acid into
120ml deionized water. We first etched the chip
for 10 minutes, then rinsed with deionized water
and blew into channels with nitrogen for a
couple of times to blow away by-product
molecules accumulated at the bottom of the
channel. The channel was measured with
ellipsometry to determine etch rate, and to
produce an 80 um deep channel, we thereby
etched for another 6 minutes based on the etch
rate. After the second etching, we cleaned the
channel again with deionized water and nitrogen
spray.
Glass Channel Bonding
For sealing the glass channels, we first
drilled holes as inlets and outlets from the
backside into the glass chip with glass channels,
and then spin coated a blank glass mask with
SU-8 2025. The SU-8 is will act as an adhesive
for that will bind the glass blank to the glass
channels. The SU-8 was applied using a spin
coater with the following speed parameters:
Ramp of 100 rpm/s for 5 seconds from 0-500
rpm, then held at 6000 rpm for 60 seconds with
ramp of 300 rpm/s, and finally a ramp of down of
300 rpm/s for 10 seconds. This produced a thin
SU-8 film around 10 to 15 micrometer thick on
the glass blank. Before bonding the glass blank
to the glass channels, solvent evaporation and
exposure occurred. Figure 9 shows our glass
chip after fabrication.
Physical Vapor Deposition
Physical vapor deposition (PVD) is a
process that uses heating and sputtering to
produce a vapor of material, which is then
deposited on a surface as a thin film. In our lab,
we used PVD to deposit a thin aluminum layer
onto the glass blank. Figure 10 shows the PVD
chamber used in our lab.
Figure 9. Fabricated Glass Chip and Channels
Figure 10. Physical Vapor Deposition Chamber
Ellipsometry
We used J.A. Woollam Co. α-SE
Ellipsometer, which is shown in Figure 11, for
measuring oxide layer thicknesses. A laser
generator generates a laser beam onto the
oxide surface, and after reflection and refraction,
the signals are received by a detector. The film
thickness is determined by comparing the
polarization states of the incident and reflected
signals.
In our experiment, we used standard
measurement with a 73ºimpact angle. After
calibration by measuring a 60 nm silicon dioxide
substrate, we could then measure the thickness
of our oxide layers.
Micro Channel Characterization
Acoustic particle focusing and separation
testing was conducted on the glass and silicon
devices fabricated in the previous steps listed.
The device was first placed on a light source
and under a microscope for better observation.
The light source was oriented on the bottom of
the device for silicon and on top for glass. A
standing acoustic wave was generated with a
function generator with a 10 mV amplitude.
Polystyrene beads (d = 10um) were added to
the glass channels and glass beads (d = 6um)
were added to the silicon channels so focusing
could easily be observed. Since the focusing
frequency of a micro channel depends on its
geometry, we calculated a focusing frequency
for each channel under test. Then each channel
was tested and compared with its expected
focusing frequency.
Results and Discussion
Silicon Micro Channels
Oxidation of Silicon
By experience, the etch rates of silicon and
silicon dioxide in KOH solution is 20 um to 90
nm. In our case, as we desire a final silicon
channel depth of around 80 um, a minimum
silicon dioxide layer depth of 360 nm is required
to provide enough protection for silicon during its
wet etching. And we actually fabricated an 800
nm thick oxide layer to provide sufficient
protection during the wet etch.
The oxide layer had a measured thickness
of 862 nm after 80 hours. This result was
somewhat consistent with what was expected
experimentally. Since the theoretical calculation
was so far off from the experimental results, the
parameters used to estimate the time needed
has much to be desired. The layer growth could
be improved with better controls of the
temperature and other parameters. Although
the thermostat of the furnace was set to 1100°C,
the actual temperature of the chambers were
between 1000°C and 1050°C. Improving this
one factor would lead to more accurate
experimental results.
Photolithography
According to experience, reactive ion
etching etches photoresist four times as quick as
it etches silicon dioxide, so we aimed to coat a 4
um thick photoresist layer to provide enough
protection for silicon dioxide mask during dry
etching. After photolithography, the photoresist
film was measured to be 3.899 um thick, which
was close to our 4 um goal, and this layer of
photoresist would provide sufficient protection
over the oxide layer.
Reactive Ion Etching
After 15 minutes dry etch, the measured
depth change of the photoresist was 1.921 um.
The measured depth change from photoresist to
the channel base was 2.997 um. According to
equation 3, the measured etch rate of the
photoresist by RIE was 0.128 um/min and the
etch rate of the oxide was 0.03 um/min. The
selectivity of RIE was observed as a 4:1 ratio
between the photoresist and the oxide. By
extrapolating this calculation for our known
photoresist depth, it was determined that it
would take another 17 minutes to remove the
entire oxide layer.
Figure 11. J.A. Woollam Co. α-SE Ellipsometer
Stripping of Photoresist
The final channel depth was measured twice
by profilometry twice, and the results were 883
nm and 881 nm respectively. These values are
both greater than the 862 nm oxide layer
thickness, demonstrating that the oxide layer
was totally removed. And for the approximate 20
nm etch into the silicon wafer, it is negligible
after wet etching as the final depth is at the
order of 60-80 um.
Wet Etching of Silicon
Wet etching in silicon produced an
anisotropic profile as expected. The wet etching
in silicon resulted in final channel depths of 55.8
um, 55.1 um and 56.2 um. There was no
expected channel depth for this experiment but
the observed etch rate was around 8 um/h and it
took approximately 7 hours to obtain the final
channel depth. The expected etch rate was
approximately 20 um/h according to literature for
a stirred 45% KOH solution at 60°C [5]. The
observed etch rate was very slow compared to
literature values which could be attributed to
inconsistent heating, imprecise KOH solution or
improper sample preparation. As expected, the
observed microchannels had slanted sidewalls
at two ends and straight sidewalls along the
channel due to the anisotropic nature of the
etchant and the orientation of the channels. The
resulting micro channel had a high surface
roughness due to the hydrogen bubbles that
form on the surface during the etching process.
The hydrogen bubbles that form act as a mask,
which leads to a non-uniform material removal.
The stirring speed was not varied so no
definitive conclusions can be drawn on whether
increasing the speed would produce a smoother
surface. However, in literature, it can be
concluded that stirring and heating does improve
etch rates for all KOH molarities [6].
Stripping of Oxide Layer
We measured by ellipsometry that the
original oxide layer thickness was 348.8 nm, and
after 4 iterations of 1 minute BOE etch, the
remaining oxide layer thicknesses were 256.7
nm, 166.6 nm, 55.9 nm and 0 nm. The average
etching rate of oxide layer was 97.6 nm/min, and
throughout etching, the oxide layer had its color
shifted from geen-blue to blue to cloudy grey
and to shiny silver.
Silicon Channel Bonding
After oxide deposition and plasma
treatment, part of the silicon wafer broke when
pressed against PDMS, which is shown in
Figure 5. This is likely due to residual stresses in
the wafer plane and therefore had some
detrimental effects on final testing.
Glass Micro Channels
Wet Etching of Glass
After 10 minute’s etch, the channel was
measured with profilometry to be 52.2 um deep,
and the etch rate was around 5.2 um/min. And
after another 6 minute etch, the photolithography
and isotropic wet etching in glass resulted in a
final channel depth of 80.6 um for the wide
channel, 78.7 um for the medium channel and
60.2 um for the narrow channel.
The expected final channel depth was 80
um. The difference in channel depths may be
partly resulted from different etchants flow inside
the channels, in which case, the wider the
channel is, the more etchant flow is likely to be.
As the measured etch rate was
approximately 5 um/min and it took 16 minutes
to obtain the final channel depth. It can be
concluded that the glass etchant has a linear
etch rate through the photoresist. It can also be
concluded that extrapolating the etch rate to
obtain a desired channel depth is a highly
effective technique. The predictable nature of
the glass etchant makes fabricating
microchannels in glass a highly repeatable task.
Accuracy of the final channel depth could be
improved by taking more measurements as the
desired channel depth is approached. Due to
time constraints, we did not perform multiple
measurements. During microscopic
observation, the glass microchannel had sloped
sidewalls and a higher degree of surface
roughness. This observation was expected due
to isotropic nature of the glass etchant. It was
also observed that the glass microchannels had
larger defects but less of them when compared
with silicon microchannels. Figure 12 provides a
side-by-side comparison of the microchannels in
silicon and glass.
Micro Channel Characterization
Particle Focusing/Separation
Table 2 depicts the actual and calculated
focusing frequencies for each of the micro
channels in silicon. We were unsuccessful in
obtaining actual focusing frequencies due to
complications with our test set up and the
broken silicon channels. Since we were
successful in measuring glass channel focusing
frequencies, we decided to replace the silicon
channels with two more glass channels.
Table 3 depicts the actual and calculated
focusing frequencies for each of the micro
channels in glass. The data clearly shows that
the predicted focusing frequency was very close
to the actual observed focusing frequency for 4
out of the 5 channels. As expected, we
observed the larger channels to have lower
focusing frequencies.
Figure 13 shows the two observed channels
during acoustic particle focusing testing. As you
can see in Figure 13, the polystyrene beads
move towards the center of the channel when
the frequency of the sound wave was near the
focusing frequency for the channel. This
movement was consistent with our expectations
of how polystyrene beads should behave in
acoustic standing waves. This phenomenon is
called positive acoustic contrast and the beads
are moving to the nodes of the standing wave
where the lowest oscillation is occurring. We did
not observe any movement by the glass beads
in the silicon channels; however, we expected
the glass beads to exhibit the opposite behavior.
Glass beads exhibit negative acoustic contrast
in acoustic waves, which means we should have
observed the glass beads moving to zones of
highest oscillation.
We also were not able to draw any
conclusions on whether smaller or larger
particles focus faster since only the polystyrene
beads in glass channels were able to focus.
However, we expected the polystyrene or larger
particles to focus faster because they are
moving towards the center of the channel.
Since the fluid is flowing through the channel in
the laminar flow regime, a parabolic flow is
expected which means the flow near the center
will be faster than flow near the side walls.
Since the polystyrene particles exhibit positive
acoustic contrast, they will focus at the nodes of
the acoustic standing wave and thus, focus
faster in the center of the channel where flow is
fastest.
Channel
Widths
(um)
Predicted
Focusing
Frequency
(MHz)
Actual
Focusing
Frequency
(MHz)
Silicon 1 550 1.36 -
Silicon 2 663 1.13 -
Silicon 3 764 0.98 -
Channel
Widths
(um)
Predicted
Focusing
Frequency
(MHz)
Actual
Focusing
Frequency
(MHz)
Glass 1 352 2.13 2.39
Glass 2 472 1.59 1.65
Glass 3 727 1.03 -
Glass 4* 627 1.20 1.10
Glass 5* 260 2.88 3.03
Figure 12 Comparison of geometries for silicon
channel (left) and glass channel (right)
Table 3. Glass Channel Measurements
*Glass channels 4 and 5 were not made
during our lab section.
Table 2. Silicon Channel Measurements
Figure 13. Acoustic particle focusing
in glass channels (top) and silicon
channels (bottom)
Conclusion
The oxide growth and physical vapor
deposition results were both consistent with our
predictions. The success of the oxide growth
allowed the following fabrication steps to occur
seamlessly. The glass and silicon etched
channels were fabricated as we expectedwith no
major flaws or errors, which shows that the
techniques described are very effective. Despite
successfully fabricating these two devices,
acoustic particle focusing testing did not
impress. The silicon device did not provide any
observed particle focusing at all. Furthermore,
the glass device yielded results for four out of
the five different micro channel geometries.
Although testing struggled to produce results in
every case, the results that were obtained were
very consistent with theory. The causes for poor
testing results were most likely from improper
cleaning and human error. The devices were
tested once prior to laboratory testing in order to
troubleshoot the remaining test set up
components. Future attempts to replicate these
testing procedures should take precautions to
maintain these devices properly.
References
[1] Tajudin, A. Ahmad, K. Petersson, A.
Lenshof, A.-M. Swärd-Nilsson, L. Åberg, G.
Marko-Varga, J. Malm, H. Lilja, and T. Laurell.
"Integrated Acoustic Immunoaffinity-capture (IAI)
Platform for Detection of PSA from Whole
Blood Samples." Lab on a Chip 13.9 (2013):
1790. Web. 5 Nov. 2016.
[2] 1Ikeda, Ikuhiro, Hideaki Monjushiro, and
Hitoshi Watarai. "Measurement of
Dielectrophoretic Mobility of Single Micro-
particles in a Flow Channel." The Analyst 130.10
(2005): 1340. Web. 5 Nov. 2016.
[3] Lei, Kin Fong. "Chapter 1 Materials and
Fabrication Techniques for Nano- and
Microfluidic Devices." Royal Society of
Chemistry, 2014. Web. 06 Nov. 2016.
[4] liescu, Ciprian, Hayden Taylor,
MarioaraAvram, Jianmin Miao, and Sami
Franssila. "A Practical Guide for the
Fabrication of Microfluidic Devices Using Glass
and Silicon." Biomicrofluidics 6.1 (2012): n. pag.
Web. 5 Nov. 2016.
[5] Noor, M.m., B. Bais, and B.y. Majlis. "The
Effects of Temperature and KOH Concentration
on Silicon Etching Rate and Membrane Surface
International Conference on Neural Information
Processing. Computational Intelligence for the
E-Age (IEEE Cat. No.02EX575) (2002): n. pag.
Web. 6 Nov. 2016.
[6] Fung, Clifford D. Micromachining and
Micropackaging of Transducers. Amsterdam:
Elsevier, 1985. Print.
[7] Campbell, Stephen A., Stephen A.
Campbell, and A. Campbell
Stephen. Fabrication engineering at the micro-
and nanoscale. No. Sirsi) i9780195320176.
2008.
[8]http://www.imicromaterials.com/technical/hmd
s Photoresist Adhesion and HMDS Processing

More Related Content

What's hot

Chemical vapour deposition
Chemical vapour depositionChemical vapour deposition
Chemical vapour depositionSethu Ram
 
Plasma compaction & electrodeposition (Nanotechnology)
Plasma compaction & electrodeposition (Nanotechnology)Plasma compaction & electrodeposition (Nanotechnology)
Plasma compaction & electrodeposition (Nanotechnology)Aliasgar Mandsaurwala
 
Etching processes for microsystems fabrication
Etching processes for microsystems fabricationEtching processes for microsystems fabrication
Etching processes for microsystems fabricationArman Rashid
 
Vapor Phase Deposition Techniques
Vapor Phase Deposition TechniquesVapor Phase Deposition Techniques
Vapor Phase Deposition TechniquesSowren Sen
 
Lithography, Photolithography--ABU SYED KUET
Lithography, Photolithography--ABU SYED KUETLithography, Photolithography--ABU SYED KUET
Lithography, Photolithography--ABU SYED KUETA. S. M. Jannatul Islam
 
Sk microfluidics and lab on-a-chip-ch4
Sk microfluidics and lab on-a-chip-ch4Sk microfluidics and lab on-a-chip-ch4
Sk microfluidics and lab on-a-chip-ch4stanislas547
 
Electrodeposited Ni- Based nano composites
Electrodeposited Ni- Based nano compositesElectrodeposited Ni- Based nano composites
Electrodeposited Ni- Based nano compositeskumarbhaskar786
 
Ic technology-pattern transfer and etching
Ic technology-pattern transfer and etchingIc technology-pattern transfer and etching
Ic technology-pattern transfer and etchingkriticka sharma
 
phosphate coating on alloys
phosphate coating on alloysphosphate coating on alloys
phosphate coating on alloysengrktk
 
Enmater Final Ppt
Enmater Final PptEnmater Final Ppt
Enmater Final Pptenmaterppt
 
Solution combustion method for syntheis of nano particles
Solution combustion method for syntheis of nano particlesSolution combustion method for syntheis of nano particles
Solution combustion method for syntheis of nano particlesGanapathirao Kandregula
 
Negative Photoresists in photolithography
Negative Photoresists in photolithographyNegative Photoresists in photolithography
Negative Photoresists in photolithographySoudip Sinha Roy
 
Planar fabrication technology
Planar fabrication technologyPlanar fabrication technology
Planar fabrication technologyPrathamesh Gardi
 

What's hot (20)

Chemical vapour deposition
Chemical vapour depositionChemical vapour deposition
Chemical vapour deposition
 
Etching
Etching Etching
Etching
 
Wafer cleaning
Wafer cleaningWafer cleaning
Wafer cleaning
 
Nano Ball Milling
Nano Ball MillingNano Ball Milling
Nano Ball Milling
 
Plasma compaction & electrodeposition (Nanotechnology)
Plasma compaction & electrodeposition (Nanotechnology)Plasma compaction & electrodeposition (Nanotechnology)
Plasma compaction & electrodeposition (Nanotechnology)
 
Etching processes for microsystems fabrication
Etching processes for microsystems fabricationEtching processes for microsystems fabrication
Etching processes for microsystems fabrication
 
Vapor Phase Deposition Techniques
Vapor Phase Deposition TechniquesVapor Phase Deposition Techniques
Vapor Phase Deposition Techniques
 
Etching
EtchingEtching
Etching
 
Lithography, Photolithography--ABU SYED KUET
Lithography, Photolithography--ABU SYED KUETLithography, Photolithography--ABU SYED KUET
Lithography, Photolithography--ABU SYED KUET
 
Sk microfluidics and lab on-a-chip-ch4
Sk microfluidics and lab on-a-chip-ch4Sk microfluidics and lab on-a-chip-ch4
Sk microfluidics and lab on-a-chip-ch4
 
Electrodeposited Ni- Based nano composites
Electrodeposited Ni- Based nano compositesElectrodeposited Ni- Based nano composites
Electrodeposited Ni- Based nano composites
 
Ic technology-pattern transfer and etching
Ic technology-pattern transfer and etchingIc technology-pattern transfer and etching
Ic technology-pattern transfer and etching
 
Brimstone lens
Brimstone lensBrimstone lens
Brimstone lens
 
phosphate coating on alloys
phosphate coating on alloysphosphate coating on alloys
phosphate coating on alloys
 
Enmater Final Ppt
Enmater Final PptEnmater Final Ppt
Enmater Final Ppt
 
Solution combustion method for syntheis of nano particles
Solution combustion method for syntheis of nano particlesSolution combustion method for syntheis of nano particles
Solution combustion method for syntheis of nano particles
 
Santosh_Kr_Yadav_RAIM08
Santosh_Kr_Yadav_RAIM08Santosh_Kr_Yadav_RAIM08
Santosh_Kr_Yadav_RAIM08
 
Negative Photoresists in photolithography
Negative Photoresists in photolithographyNegative Photoresists in photolithography
Negative Photoresists in photolithography
 
Harry ppt
Harry pptHarry ppt
Harry ppt
 
Planar fabrication technology
Planar fabrication technologyPlanar fabrication technology
Planar fabrication technology
 

Similar to Fabrication of microfluidic channels in glass and silicon

Epitaxial growth
Epitaxial growthEpitaxial growth
Epitaxial growthIYPUMANI
 
FabricationofThin FilmUsing Modified Physical Vapor Deposition (PVD) Module
FabricationofThin FilmUsing Modified Physical Vapor Deposition (PVD) ModuleFabricationofThin FilmUsing Modified Physical Vapor Deposition (PVD) Module
FabricationofThin FilmUsing Modified Physical Vapor Deposition (PVD) ModuleIJRES Journal
 
Synthesis and morphology of silicon nanoparticles by
Synthesis and morphology of silicon nanoparticles bySynthesis and morphology of silicon nanoparticles by
Synthesis and morphology of silicon nanoparticles byeSAT Publishing House
 
LE03 The silicon substrate and adding to itPart 2.pptx
LE03 The silicon substrate and adding to itPart 2.pptxLE03 The silicon substrate and adding to itPart 2.pptx
LE03 The silicon substrate and adding to itPart 2.pptxKhalil Alhatab
 
Analysis Of Carbon Nanotubes And Quantum Dots In A Photovoltaic Device
Analysis Of Carbon Nanotubes And Quantum Dots In A Photovoltaic DeviceAnalysis Of Carbon Nanotubes And Quantum Dots In A Photovoltaic Device
Analysis Of Carbon Nanotubes And Quantum Dots In A Photovoltaic DeviceM. Faisal Halim
 
AunAHSAN57371357
AunAHSAN57371357AunAHSAN57371357
AunAHSAN57371357Aun Ahsan
 
MONOLITHIC IC PROCESSES ppt.pptx
MONOLITHIC IC PROCESSES ppt.pptxMONOLITHIC IC PROCESSES ppt.pptx
MONOLITHIC IC PROCESSES ppt.pptxParasSingh894545
 
Yutong Liu - Final Report - Photonics Devices
Yutong Liu - Final Report - Photonics DevicesYutong Liu - Final Report - Photonics Devices
Yutong Liu - Final Report - Photonics DevicesYutong Liu
 
Processors Manufacturing Technology By Dr Islam Salama.pdf
Processors Manufacturing Technology By Dr Islam Salama.pdfProcessors Manufacturing Technology By Dr Islam Salama.pdf
Processors Manufacturing Technology By Dr Islam Salama.pdfDr-Islam Salama
 
A Systematic Review on MEMS Gyroscope
A Systematic Review on MEMS GyroscopeA Systematic Review on MEMS Gyroscope
A Systematic Review on MEMS GyroscopeArjunKapoor65
 
A Systematic Review on MEMS Gyroscope.docx
A Systematic Review on MEMS Gyroscope.docxA Systematic Review on MEMS Gyroscope.docx
A Systematic Review on MEMS Gyroscope.docxArjunKapoor64
 
Richard Gaona Wafer Fabrication Work Sample
Richard Gaona Wafer Fabrication Work SampleRichard Gaona Wafer Fabrication Work Sample
Richard Gaona Wafer Fabrication Work SampleRichard Gaona
 
Description Of The Hpge Gamma Ray Spectrometer
Description Of The Hpge Gamma Ray SpectrometerDescription Of The Hpge Gamma Ray Spectrometer
Description Of The Hpge Gamma Ray SpectrometerElizabeth Kennedy
 
Article2016 experimentale
Article2016 experimentaleArticle2016 experimentale
Article2016 experimentalejabraoui
 
Fullerenes Synthesis Using Fabricated Arc Discharge System with Relatively La...
Fullerenes Synthesis Using Fabricated Arc Discharge System with Relatively La...Fullerenes Synthesis Using Fabricated Arc Discharge System with Relatively La...
Fullerenes Synthesis Using Fabricated Arc Discharge System with Relatively La...iosrjce
 
Extraction of Silica and other related products from Rice Husk
Extraction of Silica and other related products from Rice HuskExtraction of Silica and other related products from Rice Husk
Extraction of Silica and other related products from Rice HuskIJERA Editor
 
Presentation1 832
Presentation1 832Presentation1 832
Presentation1 832Suman Nepal
 

Similar to Fabrication of microfluidic channels in glass and silicon (20)

Epitaxial growth
Epitaxial growthEpitaxial growth
Epitaxial growth
 
FabricationofThin FilmUsing Modified Physical Vapor Deposition (PVD) Module
FabricationofThin FilmUsing Modified Physical Vapor Deposition (PVD) ModuleFabricationofThin FilmUsing Modified Physical Vapor Deposition (PVD) Module
FabricationofThin FilmUsing Modified Physical Vapor Deposition (PVD) Module
 
FINAL REPORT
FINAL REPORTFINAL REPORT
FINAL REPORT
 
Synthesis and morphology of silicon nanoparticles by
Synthesis and morphology of silicon nanoparticles bySynthesis and morphology of silicon nanoparticles by
Synthesis and morphology of silicon nanoparticles by
 
LE03 The silicon substrate and adding to itPart 2.pptx
LE03 The silicon substrate and adding to itPart 2.pptxLE03 The silicon substrate and adding to itPart 2.pptx
LE03 The silicon substrate and adding to itPart 2.pptx
 
Analysis Of Carbon Nanotubes And Quantum Dots In A Photovoltaic Device
Analysis Of Carbon Nanotubes And Quantum Dots In A Photovoltaic DeviceAnalysis Of Carbon Nanotubes And Quantum Dots In A Photovoltaic Device
Analysis Of Carbon Nanotubes And Quantum Dots In A Photovoltaic Device
 
AunAHSAN57371357
AunAHSAN57371357AunAHSAN57371357
AunAHSAN57371357
 
MONOLITHIC IC PROCESSES ppt.pptx
MONOLITHIC IC PROCESSES ppt.pptxMONOLITHIC IC PROCESSES ppt.pptx
MONOLITHIC IC PROCESSES ppt.pptx
 
Yutong Liu - Final Report - Photonics Devices
Yutong Liu - Final Report - Photonics DevicesYutong Liu - Final Report - Photonics Devices
Yutong Liu - Final Report - Photonics Devices
 
Processors Manufacturing Technology By Dr Islam Salama.pdf
Processors Manufacturing Technology By Dr Islam Salama.pdfProcessors Manufacturing Technology By Dr Islam Salama.pdf
Processors Manufacturing Technology By Dr Islam Salama.pdf
 
A Systematic Review on MEMS Gyroscope
A Systematic Review on MEMS GyroscopeA Systematic Review on MEMS Gyroscope
A Systematic Review on MEMS Gyroscope
 
A Systematic Review on MEMS Gyroscope.docx
A Systematic Review on MEMS Gyroscope.docxA Systematic Review on MEMS Gyroscope.docx
A Systematic Review on MEMS Gyroscope.docx
 
Richard Gaona Wafer Fabrication Work Sample
Richard Gaona Wafer Fabrication Work SampleRichard Gaona Wafer Fabrication Work Sample
Richard Gaona Wafer Fabrication Work Sample
 
Description Of The Hpge Gamma Ray Spectrometer
Description Of The Hpge Gamma Ray SpectrometerDescription Of The Hpge Gamma Ray Spectrometer
Description Of The Hpge Gamma Ray Spectrometer
 
Article2016 experimentale
Article2016 experimentaleArticle2016 experimentale
Article2016 experimentale
 
Fullerenes Synthesis Using Fabricated Arc Discharge System with Relatively La...
Fullerenes Synthesis Using Fabricated Arc Discharge System with Relatively La...Fullerenes Synthesis Using Fabricated Arc Discharge System with Relatively La...
Fullerenes Synthesis Using Fabricated Arc Discharge System with Relatively La...
 
Extraction of Silica and other related products from Rice Husk
Extraction of Silica and other related products from Rice HuskExtraction of Silica and other related products from Rice Husk
Extraction of Silica and other related products from Rice Husk
 
vlsi fabrication
vlsi fabricationvlsi fabrication
vlsi fabrication
 
10.1007_s12633-015-9363-y
10.1007_s12633-015-9363-y10.1007_s12633-015-9363-y
10.1007_s12633-015-9363-y
 
Presentation1 832
Presentation1 832Presentation1 832
Presentation1 832
 

Recently uploaded

Digitized Continuous Magnetic Recordings for the August/September 1859 Storms...
Digitized Continuous Magnetic Recordings for the August/September 1859 Storms...Digitized Continuous Magnetic Recordings for the August/September 1859 Storms...
Digitized Continuous Magnetic Recordings for the August/September 1859 Storms...Sérgio Sacani
 
Role of Herbs in Cosmetics in Cosmetic Science.
Role of Herbs in Cosmetics in Cosmetic Science.Role of Herbs in Cosmetics in Cosmetic Science.
Role of Herbs in Cosmetics in Cosmetic Science.ShwetaHattimare
 
Q3W4part1-SSSSSSSSSSSSSSSSSSSSSSSSCI.pptx
Q3W4part1-SSSSSSSSSSSSSSSSSSSSSSSSCI.pptxQ3W4part1-SSSSSSSSSSSSSSSSSSSSSSSSCI.pptx
Q3W4part1-SSSSSSSSSSSSSSSSSSSSSSSSCI.pptxArdeniel
 
Lehninger_Chapter 17_Fatty acid Oxid.ppt
Lehninger_Chapter 17_Fatty acid Oxid.pptLehninger_Chapter 17_Fatty acid Oxid.ppt
Lehninger_Chapter 17_Fatty acid Oxid.pptSachin Teotia
 
KeyBio pipeline for bioinformatics and data science
KeyBio pipeline for bioinformatics and data scienceKeyBio pipeline for bioinformatics and data science
KeyBio pipeline for bioinformatics and data scienceLayne Sadler
 
SUKDANAN DIAGNOSTIC TEST IN PHYSICAL SCIENCE ANSWER KEYY.pdf
SUKDANAN DIAGNOSTIC TEST IN PHYSICAL SCIENCE ANSWER KEYY.pdfSUKDANAN DIAGNOSTIC TEST IN PHYSICAL SCIENCE ANSWER KEYY.pdf
SUKDANAN DIAGNOSTIC TEST IN PHYSICAL SCIENCE ANSWER KEYY.pdfsantiagojoderickdoma
 
Controlling Parameters of Carbonate platform Environment
Controlling Parameters of Carbonate platform EnvironmentControlling Parameters of Carbonate platform Environment
Controlling Parameters of Carbonate platform EnvironmentRahulVishwakarma71547
 
Pests of ragi_Identification, Binomics_Dr.UPR
Pests of ragi_Identification, Binomics_Dr.UPRPests of ragi_Identification, Binomics_Dr.UPR
Pests of ragi_Identification, Binomics_Dr.UPRPirithiRaju
 
Shiva and Shakti: Presumed Proto-Galactic Fragments in the Inner Milky Way
Shiva and Shakti: Presumed Proto-Galactic Fragments in the Inner Milky WayShiva and Shakti: Presumed Proto-Galactic Fragments in the Inner Milky Way
Shiva and Shakti: Presumed Proto-Galactic Fragments in the Inner Milky WaySérgio Sacani
 
Alternative system of medicine herbal drug technology syllabus
Alternative system of medicine herbal drug technology syllabusAlternative system of medicine herbal drug technology syllabus
Alternative system of medicine herbal drug technology syllabusPradnya Wadekar
 
Pests of Redgram_Identification, Binomics_Dr.UPR
Pests of Redgram_Identification, Binomics_Dr.UPRPests of Redgram_Identification, Binomics_Dr.UPR
Pests of Redgram_Identification, Binomics_Dr.UPRPirithiRaju
 
Basic Concepts in Pharmacology in molecular .pptx
Basic Concepts in Pharmacology in molecular  .pptxBasic Concepts in Pharmacology in molecular  .pptx
Basic Concepts in Pharmacology in molecular .pptxVijayaKumarR28
 
Applied Biochemistry feedback_M Ahwad 2023.docx
Applied Biochemistry feedback_M Ahwad 2023.docxApplied Biochemistry feedback_M Ahwad 2023.docx
Applied Biochemistry feedback_M Ahwad 2023.docxmarwaahmad357
 
3.2 Pests of Sorghum_Identification, Symptoms and nature of damage, Binomics,...
3.2 Pests of Sorghum_Identification, Symptoms and nature of damage, Binomics,...3.2 Pests of Sorghum_Identification, Symptoms and nature of damage, Binomics,...
3.2 Pests of Sorghum_Identification, Symptoms and nature of damage, Binomics,...PirithiRaju
 
Bureau of Indian Standards Specification of Shampoo.pptx
Bureau of Indian Standards Specification of Shampoo.pptxBureau of Indian Standards Specification of Shampoo.pptx
Bureau of Indian Standards Specification of Shampoo.pptxkastureyashashree
 
Pests of tenai_Identification,Binomics_Dr.UPR
Pests of tenai_Identification,Binomics_Dr.UPRPests of tenai_Identification,Binomics_Dr.UPR
Pests of tenai_Identification,Binomics_Dr.UPRPirithiRaju
 
biosynthesis of the cell wall and antibiotics
biosynthesis of the cell wall and antibioticsbiosynthesis of the cell wall and antibiotics
biosynthesis of the cell wall and antibioticsSafaFallah
 
RCPE terms and cycles scenarios as of March 2024
RCPE terms and cycles scenarios as of March 2024RCPE terms and cycles scenarios as of March 2024
RCPE terms and cycles scenarios as of March 2024suelcarter1
 

Recently uploaded (20)

Digitized Continuous Magnetic Recordings for the August/September 1859 Storms...
Digitized Continuous Magnetic Recordings for the August/September 1859 Storms...Digitized Continuous Magnetic Recordings for the August/September 1859 Storms...
Digitized Continuous Magnetic Recordings for the August/September 1859 Storms...
 
Role of Herbs in Cosmetics in Cosmetic Science.
Role of Herbs in Cosmetics in Cosmetic Science.Role of Herbs in Cosmetics in Cosmetic Science.
Role of Herbs in Cosmetics in Cosmetic Science.
 
Q3W4part1-SSSSSSSSSSSSSSSSSSSSSSSSCI.pptx
Q3W4part1-SSSSSSSSSSSSSSSSSSSSSSSSCI.pptxQ3W4part1-SSSSSSSSSSSSSSSSSSSSSSSSCI.pptx
Q3W4part1-SSSSSSSSSSSSSSSSSSSSSSSSCI.pptx
 
Lehninger_Chapter 17_Fatty acid Oxid.ppt
Lehninger_Chapter 17_Fatty acid Oxid.pptLehninger_Chapter 17_Fatty acid Oxid.ppt
Lehninger_Chapter 17_Fatty acid Oxid.ppt
 
KeyBio pipeline for bioinformatics and data science
KeyBio pipeline for bioinformatics and data scienceKeyBio pipeline for bioinformatics and data science
KeyBio pipeline for bioinformatics and data science
 
SUKDANAN DIAGNOSTIC TEST IN PHYSICAL SCIENCE ANSWER KEYY.pdf
SUKDANAN DIAGNOSTIC TEST IN PHYSICAL SCIENCE ANSWER KEYY.pdfSUKDANAN DIAGNOSTIC TEST IN PHYSICAL SCIENCE ANSWER KEYY.pdf
SUKDANAN DIAGNOSTIC TEST IN PHYSICAL SCIENCE ANSWER KEYY.pdf
 
Controlling Parameters of Carbonate platform Environment
Controlling Parameters of Carbonate platform EnvironmentControlling Parameters of Carbonate platform Environment
Controlling Parameters of Carbonate platform Environment
 
Pests of ragi_Identification, Binomics_Dr.UPR
Pests of ragi_Identification, Binomics_Dr.UPRPests of ragi_Identification, Binomics_Dr.UPR
Pests of ragi_Identification, Binomics_Dr.UPR
 
Data delivery from the US-EPA Center for Computational Toxicology and Exposur...
Data delivery from the US-EPA Center for Computational Toxicology and Exposur...Data delivery from the US-EPA Center for Computational Toxicology and Exposur...
Data delivery from the US-EPA Center for Computational Toxicology and Exposur...
 
Shiva and Shakti: Presumed Proto-Galactic Fragments in the Inner Milky Way
Shiva and Shakti: Presumed Proto-Galactic Fragments in the Inner Milky WayShiva and Shakti: Presumed Proto-Galactic Fragments in the Inner Milky Way
Shiva and Shakti: Presumed Proto-Galactic Fragments in the Inner Milky Way
 
Alternative system of medicine herbal drug technology syllabus
Alternative system of medicine herbal drug technology syllabusAlternative system of medicine herbal drug technology syllabus
Alternative system of medicine herbal drug technology syllabus
 
Pests of Redgram_Identification, Binomics_Dr.UPR
Pests of Redgram_Identification, Binomics_Dr.UPRPests of Redgram_Identification, Binomics_Dr.UPR
Pests of Redgram_Identification, Binomics_Dr.UPR
 
Basic Concepts in Pharmacology in molecular .pptx
Basic Concepts in Pharmacology in molecular  .pptxBasic Concepts in Pharmacology in molecular  .pptx
Basic Concepts in Pharmacology in molecular .pptx
 
Cheminformatics tools and chemistry data underpinning mass spectrometry analy...
Cheminformatics tools and chemistry data underpinning mass spectrometry analy...Cheminformatics tools and chemistry data underpinning mass spectrometry analy...
Cheminformatics tools and chemistry data underpinning mass spectrometry analy...
 
Applied Biochemistry feedback_M Ahwad 2023.docx
Applied Biochemistry feedback_M Ahwad 2023.docxApplied Biochemistry feedback_M Ahwad 2023.docx
Applied Biochemistry feedback_M Ahwad 2023.docx
 
3.2 Pests of Sorghum_Identification, Symptoms and nature of damage, Binomics,...
3.2 Pests of Sorghum_Identification, Symptoms and nature of damage, Binomics,...3.2 Pests of Sorghum_Identification, Symptoms and nature of damage, Binomics,...
3.2 Pests of Sorghum_Identification, Symptoms and nature of damage, Binomics,...
 
Bureau of Indian Standards Specification of Shampoo.pptx
Bureau of Indian Standards Specification of Shampoo.pptxBureau of Indian Standards Specification of Shampoo.pptx
Bureau of Indian Standards Specification of Shampoo.pptx
 
Pests of tenai_Identification,Binomics_Dr.UPR
Pests of tenai_Identification,Binomics_Dr.UPRPests of tenai_Identification,Binomics_Dr.UPR
Pests of tenai_Identification,Binomics_Dr.UPR
 
biosynthesis of the cell wall and antibiotics
biosynthesis of the cell wall and antibioticsbiosynthesis of the cell wall and antibiotics
biosynthesis of the cell wall and antibiotics
 
RCPE terms and cycles scenarios as of March 2024
RCPE terms and cycles scenarios as of March 2024RCPE terms and cycles scenarios as of March 2024
RCPE terms and cycles scenarios as of March 2024
 

Fabrication of microfluidic channels in glass and silicon

  • 1. Fabrication of Microfluidic Channels In Glass and Silicon Brent Auyong, Yichen Sun Abstract – Acoustic particle focusing microfluidic devices have recently received a growing interest as Point of Care (POC) diagnostic tools.Effective fabrication techniques are fundamental to the functionality of these devices. In this report, we discuss the fabrication techniques used to create acoustic particle focusing microfluidic devices in silicon and glass. We also discuss experimental results that validate our devices’ effectiveness at focusing various particles. Despite difficulties with our devices’ operation, the results illustrate that the micro channels were effective at focusing particles at the expected focusing frequencies. Index Terms – Anisotropic Wet Etching, Isotropic Wet Etching, Point of Care Diagnostics, Acoustic Particle Focusing Introduction The growing interest to develop robust Point of Care diagnostic tools (POC), such as a device to separate cells from particles using acoustic waves in biological fluids, may have important implications towards the improved detection of protein biomarkers [1]. Other POCs use dielectrophoresis to separate living cells from non-living cells in biological fluids to improve on particle separation capabilities [2]. Both examples illustrate the importance of understanding lithographic fabrication techniques for both silicon and glass substrates. Traditional microelectromechanical systems (MEMS) were primarily fabricated from single- crystal silicon wafers using processes such as silicon, metal and oxide deposition to build devices for a multitude of applications [3]. These processes allowed for a variety of electrical components such as insulators, conductors, resistors, transistors, etc, to be built on the micro and nano scale level. In the field of microfluidics, many of these fabrication techniques have been carried over. These techniques are categorized into three approaches: surface micro-machining, buried- channel and bulk micromachining [4]. The surface micro-machining approach uses structural layers coupled with patterned sacrificial layers, to produce multi-layered micro features on a substrate [4]. The buried-channel approach uses anisotropic deep ion reactive etching to create large cavities deep in a substrate with small inlets connecting it to the surface [4]. Bulk micromachining uses a variety of etching techniques to remove areas of material from a substrate [4]. The bulk micro machining fabrication approach will be the primary focus of this report. Bulk micro machining in glass or silicon is accomplished using two main fabrication techniques, dry etching and wet etching. Dry etching is a process that removes material from a substrate through bombardment of ions in a gas mixture. Typically, the gas mixture used in the dry etching process depends on the substrate and the material being removed. For silicon substrates, dry etching creates isotropic and anisotropic profiles. For glass substrates, only anisotropic profiles can be achieved. In contrast, wet etching uses solvents to remove material from a substrate. Both silicon and glass etch in an isotropic manner with the exception that etch rates in silicon depend on the crystallographic orientation. Solvent selection is based on the material being etched away and the desired etch rate. In our module design, these different types of bulk micro machining processes were implemented during the fabrication of two microfluidic devices. We used a combination of fabrication techniques to create micro channel geometries in glass and silicon. Then, we used the fabricated channels to conduct acoustic particle focusing and separation testing. Based on the geometries of each micro channel, an estimated focusing frequency was calculated using Eq. 1. 𝑓𝑓 = 𝑛𝑛𝑛𝑛 2𝐿𝐿 (1)
  • 2. These frequencies were then compared to actual observed focusing frequencies for each of the channels. This report will discuss the following bulk micro machining techniques specifically: isotropic wet etching in glass, KOH wet etching in silicon and reactive ion etching through an oxide layer. The report will be organized into four main sections: Introduction, Methods, Results/Discussion and Conclusion. Methods Silicon Channel Fabrication Oxidation of Silicon A common starting point for fabricating micro channels in silicon is to first grow an oxide layer. Based on our desired channel result, it was determined that an oxide layer thickness of 800 nm was needed. By using a simplified Deal-Grove model of thermal oxidation, we calculated the time necessary to grow an 800 nm oxide layer at 1100°C [7]. Eq. 2 is the simplified Deal-Grove model used. tOX=�B(t + τ) (2) where tOX is the oxide thickness, B is the parabolic rate constant determined by different oxidation conditions, t is the required time of oxidation in hours and τ is a time factor determined by the initial oxide thickness. In our case, constant B is 0.03 μm2/hr at 1100°C according to Figure 1, and τ is 0.076 hr according to Table 1. Therefore, it was estimated that approximately 22 hours was required to achieve an 800 nm thick oxide layer. However, we determined experimentally that it actually takes 80 hours to produce an 800 nm oxide layer. Figure 2 shows the Lindberg/Blue M 3-Zone Tube Furnace, used to produce oxide layers on silicon wafers. The furnace uses a multiple chamber configuration to uniformly heat the silicon wafers and produce a uniform layer of oxide. We inserted the silicon wafers near the center of the furnace, set a target temperature at 1100°C for each chamber and let the chamber heat up with a ramp time of 2 hours. The temperature was maintained at 1100°C for 80 hours; then we stopped heating to finish thermal oxidation and let the chambers cool down to ambient temperature at normal rate. The thickness of the oxide layer was then measured with a J.A. Woollam Co. α-SE Ellipsometer to confirm our oxide growth parameters. Photolithography Once the 800nm oxide layer was grown on a silicon wafer, photolithography was used to pattern the desired micro channel geometries. Since the oxide layer is naturally hydrophilic, steps need to be taken to prevent the developer and etching chemicals from penetrating the resist/substrate interface and causing extreme etchant undercutting and complete delamination of the photoresist film[8]. A layer of MCC Primer 80/20 (HMDS), was applied prior to spin-coating photoresist, which helps to promote adhesion between oxide layer and photoresist layer to be coated later. A Brewer Science CEE Spin Figure 1. Linear and Parabolic Oxidation Rate Constants Figure 2. Lindberg/Blue M 3-Zone Tube Furnace Table 1.Thermal Oxidation Parameters under Different Conditions
  • 3. Coater was used to deposit a layer of positive photoresist, Shipley S1827, onto the wafer surface. The spin coater first ramped up by 100 rpm/s for 5 seconds to 500 rpm, then held at 2000 rpm for 30 seconds with ramp of 500 rpm/s, and finally decelerated with a ramp of 500 rpm/s for 4 seconds. Next, we soft-baked the photoresist on a hotplate at 115°C for 40 seconds and exposed it using a Karl Suss MJB- 3 Mask Aligner. The wafer was exposed to UV light at 7.71 mW/cm2 for 40 seconds to obtain a manufacture-recommended exposure dose of 300 mJ/cm2. The wafer was then hard-baked on a hot plate at 120°C for another 10 minutes to make firm the photoresist layer and thus preventing potential contamination of reactive ion etching chamber later when clamped. A profilometer was used to measure the photoresist channel depth Pr and ensure the correct photoresist layer was achieved. Reactive Ion Etching (RIE) Once the channels geometries were defined by the photoresist, reactive ion etching (RIE) was used to etch the channel geometries into the oxide layer. Figure 3 shows the Oxford Instrument Plasmalab System 100, which was used to perform RIE. The machine uses a 2.54 GHz plasma source in the inductively coupled plasma module (ICP) to generate high-density plasma. The generated plasma ionizes reactive gases and the resulting ions bombard the wafer surface in the main reaction chamber. Through chemical reaction and physical momentum transfer, volatile products are produced and pumped away. The prepared wafer was attached to a 4’’ wafer to mount in the machine and a small piece of silicon was added to surface. The surface below will not be etched which will allow the etch rate and selectivity after a 15 minute RIE etch to be measured. The wafer was then placed in the small loading chamber, and after pumping to 2.5×10-2 torr by a roughing pump, the locked gate connecting the loading chamber to the full chamber was lifted and the wafer was inserted into the full chamber. The loading chamber has a small volume that is easy to pull rough vacuum, and the full chamber has its volume 30 times larger than that of the loading chamber, and is always maintained at high vacuum. So after the wafer was inserted and the connecting gate locked up again, the full chamber was pumped to 7.5×10-9 torr to pump away ambience and other unwanted molecules, preventing undesired ions during RIE. We next started oxide etch recipe and 40 sccm (standard cubic centimeters per minute) CF4 and 5 sccm oxygen were introduced into the reaction chamber to etch SiO2 layer. The plasma ionizes the CF4 to create reactive fluoride ions which react with SiO2 to form SiF4 (gas) and other gaseous products. After 15 minutes etch, the reaction chamber was pumped down to 7.5×10-9 torr again to pump away used gases, preventing potential pollution to the cleanroom. The wafer was then taken out for a measurement. A schematic diagram of the measurement is illustrated in Figure 4. Etched photoresist height ΔPr and height between etched photoresist and etched oxide ΔPr-Ox were measured. The etch rates of photoresist and oxide layer was then determined by Eq. 3. RPR=ΔPr/t; ROX= (ΔPr-Ox+ΔPr - Pr)/t (3) where t is the etching time, and we could estimate the remaining RIE etch time. According to our estimate, we repeated previous RIE procedures for another 17-minute etch. Figure 4. Schematic Diagram of Etch Rates Measurement Figure 3. Oxford Instruments Plasmalab System 100 and Schematic Diagram of RIE
  • 4. Stripping of Photoresist We used acetone to strip away any remaining photoresist, cleaned the wafer with deionized water and dried with nitrogen spray. We next took the wafer for another profilometry measurement to determine the oxide channel depth and checked if the oxide layer was totally removed. Wet Etching of Silicon We next aimed to etch channels into silicon wafer masked by patterned oxide layer by wet etching. Potassium hydroxide (KOH) can etch in both [1 0 0] and [1 1 0] directions but almost no etching effect on [1 1 1] direction. KOH has a much higher etching rate of silicon compared to the etching rate of silicon dioxide, so we can use silicon dioxide as an etching mask in KOH etching. In our experiment, the silicon wafer has its surface an [1 0 0] plane, and we carefully aligned the channels so that they would be widened and deepened but not extended. Figure 5 shows our silicon wafer after fabrication. The patterned silicon wafer was mounted in a PEEK holder, and its back surface was protected while its top surface exposed to the KOH solution. Figure 6 and 7 shows the wet etching setup and its schematic diagram respectively. The hotplate was set at 125°C, and the Teflon magnetic stirrer was kept stirring at 600 rpm to increase diffusion of produced hydrogen bubbles. The solution temperatures at the beginning and in the end were 60.8°C and 63°C respectively, and the temperature was monitored by a thermometer throughout the etching. To etch a 60-80 um deep channel, an etching time of 7 hours was expected. During etching, the oxide mask layer was more resistant to KOH and protected the backside of the wafer from etching. Upon completion of the 7 hour etching, the wafer was removed from the solution and holder, then rinsed with deionized water, and took for a measurement under microscope for its etched thickness. Stripping of Oxide Layer The next step was to remove the remaining oxide layer after the KOH etch. A buffered oxide etchant (BOE) was chosen to accomplish this task due to its controllable etching rates. A buffered oxide etchant is a mixture of a buffering agent and an acid. In this case, ammonium fluoride (NH4F) and hydrofluoric acid (HF) created the BOE used. The wafer was placed into a Teflon tray and immersed in BOE etchant for 1 minute. The wafer was rinsed with DI water to stop etching process and nitrogen-dried. The wafer was then measured with ellipsometry for remaining oxide layer thickness, and the 1 minute step BOE etching was repeated 4 times until the oxide layer was totally removed. Figure 8 shows the equipment used in BOE etching. Figure 5. Fabricated Silicon Wafer and Channels Figure 6. Experimental Setup of KOH Wet Etching Figure 7. Schematic Diagram of KOH Wet Etching Figure 8. BOE Etching Setup
  • 5. It is necessary to notice that during glass etching, special personal protective equipment (PPE) was needed for safety purposes. Neoprene gloves, an apron and a face shield were required to be worn during the BOE etching process. And during operation, we use a Teflon tray to contain all equipment and avoid agitating the hydrofluoric acid solution. After etching, we rinsed the wafer twice to dilute remaining etchant and collected liquid waste in special containers. Silicon Channel Bonding To prepare our silicon channel for acoustic testing, holes are drilled at the back of the silicon wafer as inlets and outlets, and a thin oxide layer with a thickness of 200 nm was grown to make the channels hydrophillic. The front surface of silicon micro channels were then plasma treated and bonded to PDMS. Glass Channel Fabrication Photolithography A chrome coated glass blank was used as the starting point for micro channel fabrication. A 0.5 um thick layer of positive photoresist AZ- 1500 was spun on the chrome layer and softbaked. We exposed the substrate under UV light of an intensity 7.83mW/cm2 for 16 seconds, and the exposed photoresist received a dose around 120mJ/cm2. We then used developer MF-319 to develop for exactly 55 seconds, and as AZ-1500 is a positive photoresist, regions exposed to UV light become preferentially soluble in the developer. It should be noted that overdevelopment of the unexposed photoresist is a possibility. Lastly, we put the glass substrate into chrome etchant 1020 for approximately 1 minute Wet Etching of Glass After chrome etching, we used deionized water to clean the substrate and prepared to etch into glass. We mixed 69% (w%w) nitric acid, 49% (w%w) hydrofluoric acid and deionized water at a ratio of 1:2:6 as the glass etchant; and to be specific, we put 20 ml 69% nitric acid and 40 ml 49% hydrofluoric acid into 120ml deionized water. We first etched the chip for 10 minutes, then rinsed with deionized water and blew into channels with nitrogen for a couple of times to blow away by-product molecules accumulated at the bottom of the channel. The channel was measured with ellipsometry to determine etch rate, and to produce an 80 um deep channel, we thereby etched for another 6 minutes based on the etch rate. After the second etching, we cleaned the channel again with deionized water and nitrogen spray. Glass Channel Bonding For sealing the glass channels, we first drilled holes as inlets and outlets from the backside into the glass chip with glass channels, and then spin coated a blank glass mask with SU-8 2025. The SU-8 is will act as an adhesive for that will bind the glass blank to the glass channels. The SU-8 was applied using a spin coater with the following speed parameters: Ramp of 100 rpm/s for 5 seconds from 0-500 rpm, then held at 6000 rpm for 60 seconds with ramp of 300 rpm/s, and finally a ramp of down of 300 rpm/s for 10 seconds. This produced a thin SU-8 film around 10 to 15 micrometer thick on the glass blank. Before bonding the glass blank to the glass channels, solvent evaporation and exposure occurred. Figure 9 shows our glass chip after fabrication. Physical Vapor Deposition Physical vapor deposition (PVD) is a process that uses heating and sputtering to produce a vapor of material, which is then deposited on a surface as a thin film. In our lab, we used PVD to deposit a thin aluminum layer onto the glass blank. Figure 10 shows the PVD chamber used in our lab. Figure 9. Fabricated Glass Chip and Channels Figure 10. Physical Vapor Deposition Chamber
  • 6. Ellipsometry We used J.A. Woollam Co. α-SE Ellipsometer, which is shown in Figure 11, for measuring oxide layer thicknesses. A laser generator generates a laser beam onto the oxide surface, and after reflection and refraction, the signals are received by a detector. The film thickness is determined by comparing the polarization states of the incident and reflected signals. In our experiment, we used standard measurement with a 73ºimpact angle. After calibration by measuring a 60 nm silicon dioxide substrate, we could then measure the thickness of our oxide layers. Micro Channel Characterization Acoustic particle focusing and separation testing was conducted on the glass and silicon devices fabricated in the previous steps listed. The device was first placed on a light source and under a microscope for better observation. The light source was oriented on the bottom of the device for silicon and on top for glass. A standing acoustic wave was generated with a function generator with a 10 mV amplitude. Polystyrene beads (d = 10um) were added to the glass channels and glass beads (d = 6um) were added to the silicon channels so focusing could easily be observed. Since the focusing frequency of a micro channel depends on its geometry, we calculated a focusing frequency for each channel under test. Then each channel was tested and compared with its expected focusing frequency. Results and Discussion Silicon Micro Channels Oxidation of Silicon By experience, the etch rates of silicon and silicon dioxide in KOH solution is 20 um to 90 nm. In our case, as we desire a final silicon channel depth of around 80 um, a minimum silicon dioxide layer depth of 360 nm is required to provide enough protection for silicon during its wet etching. And we actually fabricated an 800 nm thick oxide layer to provide sufficient protection during the wet etch. The oxide layer had a measured thickness of 862 nm after 80 hours. This result was somewhat consistent with what was expected experimentally. Since the theoretical calculation was so far off from the experimental results, the parameters used to estimate the time needed has much to be desired. The layer growth could be improved with better controls of the temperature and other parameters. Although the thermostat of the furnace was set to 1100°C, the actual temperature of the chambers were between 1000°C and 1050°C. Improving this one factor would lead to more accurate experimental results. Photolithography According to experience, reactive ion etching etches photoresist four times as quick as it etches silicon dioxide, so we aimed to coat a 4 um thick photoresist layer to provide enough protection for silicon dioxide mask during dry etching. After photolithography, the photoresist film was measured to be 3.899 um thick, which was close to our 4 um goal, and this layer of photoresist would provide sufficient protection over the oxide layer. Reactive Ion Etching After 15 minutes dry etch, the measured depth change of the photoresist was 1.921 um. The measured depth change from photoresist to the channel base was 2.997 um. According to equation 3, the measured etch rate of the photoresist by RIE was 0.128 um/min and the etch rate of the oxide was 0.03 um/min. The selectivity of RIE was observed as a 4:1 ratio between the photoresist and the oxide. By extrapolating this calculation for our known photoresist depth, it was determined that it would take another 17 minutes to remove the entire oxide layer. Figure 11. J.A. Woollam Co. α-SE Ellipsometer
  • 7. Stripping of Photoresist The final channel depth was measured twice by profilometry twice, and the results were 883 nm and 881 nm respectively. These values are both greater than the 862 nm oxide layer thickness, demonstrating that the oxide layer was totally removed. And for the approximate 20 nm etch into the silicon wafer, it is negligible after wet etching as the final depth is at the order of 60-80 um. Wet Etching of Silicon Wet etching in silicon produced an anisotropic profile as expected. The wet etching in silicon resulted in final channel depths of 55.8 um, 55.1 um and 56.2 um. There was no expected channel depth for this experiment but the observed etch rate was around 8 um/h and it took approximately 7 hours to obtain the final channel depth. The expected etch rate was approximately 20 um/h according to literature for a stirred 45% KOH solution at 60°C [5]. The observed etch rate was very slow compared to literature values which could be attributed to inconsistent heating, imprecise KOH solution or improper sample preparation. As expected, the observed microchannels had slanted sidewalls at two ends and straight sidewalls along the channel due to the anisotropic nature of the etchant and the orientation of the channels. The resulting micro channel had a high surface roughness due to the hydrogen bubbles that form on the surface during the etching process. The hydrogen bubbles that form act as a mask, which leads to a non-uniform material removal. The stirring speed was not varied so no definitive conclusions can be drawn on whether increasing the speed would produce a smoother surface. However, in literature, it can be concluded that stirring and heating does improve etch rates for all KOH molarities [6]. Stripping of Oxide Layer We measured by ellipsometry that the original oxide layer thickness was 348.8 nm, and after 4 iterations of 1 minute BOE etch, the remaining oxide layer thicknesses were 256.7 nm, 166.6 nm, 55.9 nm and 0 nm. The average etching rate of oxide layer was 97.6 nm/min, and throughout etching, the oxide layer had its color shifted from geen-blue to blue to cloudy grey and to shiny silver. Silicon Channel Bonding After oxide deposition and plasma treatment, part of the silicon wafer broke when pressed against PDMS, which is shown in Figure 5. This is likely due to residual stresses in the wafer plane and therefore had some detrimental effects on final testing. Glass Micro Channels Wet Etching of Glass After 10 minute’s etch, the channel was measured with profilometry to be 52.2 um deep, and the etch rate was around 5.2 um/min. And after another 6 minute etch, the photolithography and isotropic wet etching in glass resulted in a final channel depth of 80.6 um for the wide channel, 78.7 um for the medium channel and 60.2 um for the narrow channel. The expected final channel depth was 80 um. The difference in channel depths may be partly resulted from different etchants flow inside the channels, in which case, the wider the channel is, the more etchant flow is likely to be. As the measured etch rate was approximately 5 um/min and it took 16 minutes to obtain the final channel depth. It can be concluded that the glass etchant has a linear etch rate through the photoresist. It can also be concluded that extrapolating the etch rate to obtain a desired channel depth is a highly effective technique. The predictable nature of the glass etchant makes fabricating microchannels in glass a highly repeatable task. Accuracy of the final channel depth could be improved by taking more measurements as the desired channel depth is approached. Due to time constraints, we did not perform multiple measurements. During microscopic observation, the glass microchannel had sloped sidewalls and a higher degree of surface roughness. This observation was expected due to isotropic nature of the glass etchant. It was also observed that the glass microchannels had larger defects but less of them when compared with silicon microchannels. Figure 12 provides a side-by-side comparison of the microchannels in silicon and glass. Micro Channel Characterization Particle Focusing/Separation Table 2 depicts the actual and calculated focusing frequencies for each of the micro channels in silicon. We were unsuccessful in obtaining actual focusing frequencies due to complications with our test set up and the
  • 8. broken silicon channels. Since we were successful in measuring glass channel focusing frequencies, we decided to replace the silicon channels with two more glass channels. Table 3 depicts the actual and calculated focusing frequencies for each of the micro channels in glass. The data clearly shows that the predicted focusing frequency was very close to the actual observed focusing frequency for 4 out of the 5 channels. As expected, we observed the larger channels to have lower focusing frequencies. Figure 13 shows the two observed channels during acoustic particle focusing testing. As you can see in Figure 13, the polystyrene beads move towards the center of the channel when the frequency of the sound wave was near the focusing frequency for the channel. This movement was consistent with our expectations of how polystyrene beads should behave in acoustic standing waves. This phenomenon is called positive acoustic contrast and the beads are moving to the nodes of the standing wave where the lowest oscillation is occurring. We did not observe any movement by the glass beads in the silicon channels; however, we expected the glass beads to exhibit the opposite behavior. Glass beads exhibit negative acoustic contrast in acoustic waves, which means we should have observed the glass beads moving to zones of highest oscillation. We also were not able to draw any conclusions on whether smaller or larger particles focus faster since only the polystyrene beads in glass channels were able to focus. However, we expected the polystyrene or larger particles to focus faster because they are moving towards the center of the channel. Since the fluid is flowing through the channel in the laminar flow regime, a parabolic flow is expected which means the flow near the center will be faster than flow near the side walls. Since the polystyrene particles exhibit positive acoustic contrast, they will focus at the nodes of the acoustic standing wave and thus, focus faster in the center of the channel where flow is fastest. Channel Widths (um) Predicted Focusing Frequency (MHz) Actual Focusing Frequency (MHz) Silicon 1 550 1.36 - Silicon 2 663 1.13 - Silicon 3 764 0.98 - Channel Widths (um) Predicted Focusing Frequency (MHz) Actual Focusing Frequency (MHz) Glass 1 352 2.13 2.39 Glass 2 472 1.59 1.65 Glass 3 727 1.03 - Glass 4* 627 1.20 1.10 Glass 5* 260 2.88 3.03 Figure 12 Comparison of geometries for silicon channel (left) and glass channel (right) Table 3. Glass Channel Measurements *Glass channels 4 and 5 were not made during our lab section. Table 2. Silicon Channel Measurements Figure 13. Acoustic particle focusing in glass channels (top) and silicon channels (bottom)
  • 9. Conclusion The oxide growth and physical vapor deposition results were both consistent with our predictions. The success of the oxide growth allowed the following fabrication steps to occur seamlessly. The glass and silicon etched channels were fabricated as we expectedwith no major flaws or errors, which shows that the techniques described are very effective. Despite successfully fabricating these two devices, acoustic particle focusing testing did not impress. The silicon device did not provide any observed particle focusing at all. Furthermore, the glass device yielded results for four out of the five different micro channel geometries. Although testing struggled to produce results in every case, the results that were obtained were very consistent with theory. The causes for poor testing results were most likely from improper cleaning and human error. The devices were tested once prior to laboratory testing in order to troubleshoot the remaining test set up components. Future attempts to replicate these testing procedures should take precautions to maintain these devices properly. References [1] Tajudin, A. Ahmad, K. Petersson, A. Lenshof, A.-M. Swärd-Nilsson, L. Ã…berg, G. Marko-Varga, J. Malm, H. Lilja, and T. Laurell. "Integrated Acoustic Immunoaffinity-capture (IAI) Platform for Detection of PSA from Whole Blood Samples." Lab on a Chip 13.9 (2013): 1790. Web. 5 Nov. 2016. [2] 1Ikeda, Ikuhiro, Hideaki Monjushiro, and Hitoshi Watarai. "Measurement of Dielectrophoretic Mobility of Single Micro- particles in a Flow Channel." The Analyst 130.10 (2005): 1340. Web. 5 Nov. 2016. [3] Lei, Kin Fong. "Chapter 1 Materials and Fabrication Techniques for Nano- and Microfluidic Devices." Royal Society of Chemistry, 2014. Web. 06 Nov. 2016. [4] liescu, Ciprian, Hayden Taylor, MarioaraAvram, Jianmin Miao, and Sami Franssila. "A Practical Guide for the Fabrication of Microfluidic Devices Using Glass and Silicon." Biomicrofluidics 6.1 (2012): n. pag. Web. 5 Nov. 2016. [5] Noor, M.m., B. Bais, and B.y. Majlis. "The Effects of Temperature and KOH Concentration on Silicon Etching Rate and Membrane Surface International Conference on Neural Information Processing. Computational Intelligence for the E-Age (IEEE Cat. No.02EX575) (2002): n. pag. Web. 6 Nov. 2016. [6] Fung, Clifford D. Micromachining and Micropackaging of Transducers. Amsterdam: Elsevier, 1985. Print. [7] Campbell, Stephen A., Stephen A. Campbell, and A. Campbell Stephen. Fabrication engineering at the micro- and nanoscale. No. Sirsi) i9780195320176. 2008. [8]http://www.imicromaterials.com/technical/hmd s Photoresist Adhesion and HMDS Processing