SlideShare a Scribd company logo
1 of 51
Download to read offline
Lean Six Sigma Project:
CMP Oxide Film Thickness
Uniformity Improvement
         y p

FINAL REPORT
October 2008



Norbert Gloser
Qimonda Operational Excellence
                                 Qimonda confidential
Contents
                                D   M   A   I   C

1) Introduction to CMP Basics
2) DMAIC Phase Summary
 )                   y
     •   Define Phase
     •   Measure Phase
     •   Analyze Ph
         A l     Phase
     •   Improve Phase
     •   Control Phase
3) Project Summary
4) Appendix
     •   DMAIC Tool Summary
     •   Tollgate Approvals
     •   Control Plan
     •   Transition Plan
     •   Glossary
CMP Basic 1:
 What is CMP?                              D   M   A   I   C


Functional principle of CMP


The process uses an abrasive and
corrosive slurry in conjunction with a
polishing pad.
Pad and wafer are pressed together by a
dynamic polishing head and held in place
by a plastic retaining ring.
The dynamic polishing head is rotated
with different axes of rotation.
This removes material and tends to even
out any irregular topography, making the
      y     g       p g p y,       g
wafer flat or planar.
CMP Basic 2: How does the
 4-Zone Polishing Head work?                    D      M      A      I     C




• Each Polishing Head has 4 zones to control Uniformity through varying air pressures:
  – CAP: Center Air Pressure    (0mm - 30mm)
  – RAP: Ripple Air Pressure    (31mm - 64mm)
  – OAP: Outer Air Pressure     (65mm - 84mm)
  – EAP: Edge Air Pressure      (85mm – 100mm)
• The Polishing Chamber Pressure (PCP) is used to adjust the overall polishing pressure
  of the head and normally held constant.
DMAIC Methodology
                                          D     M     A     I     C



DEFINE: P j t scope, purpose and customer requirements
        Project                d    t         i     t


MEASURE: Baseline of underlying p
   S                       y g process


ANALYZE: Collect data for trend, root causes, key input drivers

IMPROVE: Current process by improving input variation (DOE)

CONTROL: Inputs discovered in previous steps
CMP Oxide Film Thickness
Uniformity Improvement                                                   D         M         A          I        C



Business Case:                                                     Opportunity:
                                                                             y
The non-uniformity of the a CMP Oxide process contributes          Qimonda not satisfied with 80nm technology yield from QR2.
extensively to the yield loss at the Qimonda Richmond 200mm        Opportunity exists to improve QR2 80nm yield by improving
Plant (QR2).                                                       uniformity in the AA module.
The device yield loss (non-functional chips) is 2.3%.              The CMP AA Oxide process is a main contributor to the these yield
The reduction of CMP non-uniformity at this step will results in   detractors.
$4.1 Mio. Savings per year.
$4 1 Mi S i


Goal:                                                              Scope:
Reduce AA Post Oxide within wafer range (lot average) from         In scope: AA Oxide uniformity, Ebara FREX toolset
550A to 320A by September 2008 (based on comparison to
Qimonda Richmond 300m – QR3 bench mark) on T80 product.            Not in scope: 110nm, AA defectivity, other CMP processes, AMAT
                                                                   MIRRA toolset
Roadmap:                                                           Core Team:
                                                                   Role                             Name
                                                                   6S BB                            Norbert Gloser
                                                                   QR2 CMP PE                       Mark Collins
                                                                   QR2 CMP PE                       Kam Hettiaratchi
                                                                   QR3 CMP PE                       Andreas Fischer
                                                                   QR2 CMP EE                       Nishant Chadha
                                                                   PI                               Han Park
Project Selection
 VOC and financial return                                    D   M       A        I      C
                                                     ROCE



                       EBIT                          TAX                       Capital
                                                                              employed



     Revenue                                  Cost



     ASP                             Volume




     TtM       Prod.      Qual.       Fab       WSPW
                                                                 Financial return:
                                     Output                      - Approx. 4.1 Mio revenue per year

                                                                 Assumptions:
                                                                 A         ti
               R&D time                                          - increase in YBS3 of 2.3%
CT                                Fab Yield    Wafer Yield
                 line                                              (additional 11 512MB chips per wafer)
                                                                 - ASP of $1.65/chip
                                                AA divots        - 5000 WSPW
                                                                 - Yield improvement on all
                                                CMP AA
                                                                   80nm products
                                               uniformity
Project Definition
                                                      D     M      A        I     C

•    Problem Statement:                         •    Metrics:
    • What: Yield loss due to high AA Post          • Primary Metric:
      Oxide within Wafer Range                       • AA Post Oxide within Wafer Range
    • Where: CMP AA TEOS in QR2                     • Secondary Metrics:
    • When: Since QR2 T80 start up
                          start-up                   • Yield
    • Problem indicator: Yield loss, Physical       • Consequential Metrics:
      Failure Analysis, QR3 benchmark results
                                                     • Cycle Time, Cost of Ownership (
                                                        y        ,                 p (CoO)
                                                                                         )
•    Financial Metric:                          •    Objective Statement:
    • Yield gain: 2.3%                              • Currently: OxRgMean = 544A
    • Chi output: 55 000 (
      Chip t t 55,000 (per week)   k)               • E titl
                                                      Entitlement: O R Mean = 230A
                                                                t OxRg
      (Est. depending on weekly wafer starts          (QR3 benchmark)
      and product mix)                              • Goal: OxRgMean = 320A
    • T t l ROI: $4 1 Mi (
      Total ROI $4.1 Mio. (per year) )                (70% of gap t b
                                                            f     to benchmark)
                                                                         h   k)
      (Est. depending on chip price)
Project Team
               D   M   A   I   C
Define Phase Summary
 & LSS Tools used                          D    M     A     I    C

Summary:                                 Tools/methodologies used:
- Used VOC to select project             - Voice of customer (VOC)
- Defined problem statement
- Defined AA Post Oxide within Wafer
  Rang as primary metric
- Defined secondary and
  consequential metrics
- Provided currently capability and
  compared it to benchmark
- Set goal for primary metric based on
  70% gap
- Identified ROI of $4.1 Mio. Per y
                    $             year
- Selected team members
Process Map:
Macro Map      D   M   A   I   C
Bench Marking and
  Process Capability                                                                             D                M               A                 I      C
QR2                            QR3 (benchmark)
OXRG mean                      Mean(Range(Post Ox))
                                                              70% of gap to QR3 performance
1000                           1000
 900                            900
                                                              => target: 320A
                                                                    g
 800                            800
 700                            700
                                                              Remark:
                                                              320A is the goal for mean value and not the Upper Spec Limit.
 600                            600
                                                              As there is no spec limit existing we used the goal for the capability calculation.
 500                            500
 400                            400                                                            Process Capability of OXRG P7RSLTX
 300                            300
 200                            200                                                                   USL
 100                            100                                    P rocess D ata                                                                                 Within
   0                              0                            LS L                *                                                                                  Ov erall
                                                               Target              *
                                                               USL                 320                                                                         P otential (Within) C apability
 Quantiles                      Quantiles
                                                               S ample M ean       585.894                                                                           Z.Bench      -2.45
100.0% maximum      864.61     100.0% maximum      376.17      S ample N           305                                                                               Z.LS L           *
99.5%               864.61     99.5%               376.17      S tD ev (Within)    108.412                                                                           Z.U S L      -2.45
97.5%               790.87     97.5%               362.17      S tD ev (O v erall) 174.87                                                                            C pk         -0.82
90.0%               698.74     90.0%               302.03                                                                                                           O v erall C apability
75.0%   quartile    602.62     75.0%   quartile    275.67                                                                                                            Z.Bench      -1.52
50.0%   median      531.53     50.0%   median      221.50         Less than                                                                                          Z.LS L           *
25.0%   quartile    457.22     25.0%   quartile    199.83         2%                                                                                                 Z.U S L      -1.52
                                                                                                                                                                     P pk         -0.51
10.0%               410.36     10.0%               161.78         of lots meet                                                                                       C pm             *
2.5%                376.45     2.5%                124.57
                                                                  320A target
0.5%                361.14     0.5%                 96.17
0.0%   minimum      361.14     0.0%   minimum       96.17
 Moments                        Moments
Mean               543.76823   Mean               230.39178                                          300      450        600    750       900      1050 1200
Std Dev            108.65107   Std Dev            54.104032    O bserv ed P erformance       E xp. Within P erformance    E xp. O v erall P erformance
Std Err Mean       10.087998   Std Err Mean       6.4209673       % < LS L         *             % < LS L         *            % < LS L           *
upper 95% Mean     563.75062   upper 95% Mean       243.198       % > U S L 98.03                % > U S L 99.29               % > U S L 93.58
lower 95% Mean     523.78585   lower 95% Mean     217.58557       % Total      98.03             % Total      99.29            % Total        93.58
                                                                                                                                                                 May-08 data
N                        116   N                         71
C




                                                                                                                                                                                               (non)unifor
           I




                                                                                                                                                                                               A A C MP

                                                                                                                                                                                               mity
           A

                    C&E Diagram - AA CMP Uniformity Improvement
           M




                                                                                                                         Personnel




                                                                                                                                                                                                                                                                                                                                     Tool capability
                                                                                              Manual ops




                                                                                                                                                                                                                                                                                                           PMs
           D



                                                                  Personnel
                                                                          l




                                                                                                                                                                                                                                                                                                                                                       Machines
                                                                                             e
                                                                                           m                 fg d                                                                                                                                                                                                                  ad
                                                                                         st                M at e                                                                                                                                                                                                               He
                                                                                      ju                       r
                                                                                  Ad                        pe                                                                                                                                                                                                                    pe
                                                                                                           o                                                                                                                                                                                  ns                                ty
                                                                  P




                                                                                     s                                                                                                                                                                                                      io
                                                                                  nt                        E     d                                                                                                                                                                      at
                                                                                                           P rat e                                                                                                                                                                    br e
                                                                                                                                                                                                              Warm-up wfrs
                                                                                    ID n                      e er r                                                                                                                                                                ali        l                           SW on
                                                                                 PP t io                                                                                                                                                                                                    du
                                                                                                                                                                                               Product type
                                                                                                           op m bb                                                                                                                                                                C                                             i
                                                                                                                                                            Consum lifetime




                                                                                       c
                                                                                    le D C                                                                                                                                                                                               he                                  rs




                                                                                                                                                                                                                                      Head rebuild
                                                                                 se                          Nu                                                                                                                                                                       Sc                                   ve e
                                                                                                                                                                                    Incoming
                                                                                                                                                                                                                                                                                                                                p




                                                                                                                                                                                                                                                                                    Process Control
                                                                                                                                                                                                                             Rework
                                                                                                                                                                                                                                                                                                                             ty




                                                                                                                                                                                                                                                                Automation
                                                                                                                                                                                                                                                                                                                            l
                                                                                                                                             Conditioner




                                                                                                                                                                                                                                                                                                                          oo
                                                                                                                                                                                                                                                                                                                    T




                                                                                                                                                                                                                                                                                                             Procedures
                                                                                                                                      Head
                                                                                                                     y
                                                                                                                Slurry



                                                                                                                                                                                                                                                               ta




                                                                                                                                                                                                                                                                                                                                     PPID
                                                                                         Pad




                                                                                                                                                                                                                                                             da                     2R




                                                                                                                                                                                                                                                                                                                                                       Methods
                                                                                                                                                                       H
                                                                  Material
                                                                  M t i l




                                                                                                                                                                     T                                                                        re                                 R
                                                                                                                u                                                  EP                                                                       su                                                                  E
                                                                                                           r ib                                                 ID      P                                                                 ea
                                                                                                                                                                                                                                                                                      l                    FM
                                                                                                      ist                                                     ST H
                                                                                                                                                                      D                                                                                                           tr o                                             ti
                                                                                                                                                                                                                                                                                                                                 di i
                                                                                                                                     br                                                                                               M                                        on
                                                                                          e        D                                                              A                                                                                        a                                              um                 on T
                                                                                         v              n                  em                                   A      X                                                                                 at                  C s
                                                                                                                                                                                                                                                                                 it                    oc                  C e
                                                                                     roo           t io io                M
                                                                                                                                                                    LO                                                                                 ld                     im                      D tion
                                                                                                                                                                                                                                                                                                                              Zo
                                                                                                                                                                                                                                                                                                                                  n
                                                                                 G                            t             e                                     SE                                                                                 oo                      L c                        ta A
                                                                                                        tr a              an                                                                                                                  T
                                                                                                                                                                                                                                                                                                      en OC
                                                                                                                                                                                                                                                                                                                                    P
                                                                                                                                                                                                                                                                                                                                    P
                                                                                                    Fil                               R                                                                                                                                           e
                                                                                                                                                                                                                                                                               Sp ts
Fishbone Diagram:




                                                                                 s                                                   R                                                                                                                                                                                            r
                                                                                     op                                                                                                                                                                                             i                                           ie
                                                                                                                                                                                                                                                                                                                             rr le
                                                                                   T                 n pe                                                                                                                                                                      Lim                                         Ca
                                                                                                       y                                                                                                                                                                                              PM                     ab ry
                                                                                      b                    T                                                                                                                                                                                                               T u r p-
                                                                                   Su                                                                                                                                                                                                                 OP                    Slm
Uniformity = F(X)




                                                                                                                                                                                               Ex-situ
                                                                                                                                                                                                                                                                                                                             a m
                                                                                                                                                                                                                                                                                                                           R Ra




                                                                                                                                                                                                                                                 Prorities
                                                                                                                                                                  In-situ
                                                                                                                                Product
                                                                                                                                                                                        al




                                                                                                                                                                                                                                                                                                                                     Workload
                                                                  Measurements
                                                                                                                                                                                       n
                                                                                                                                                                                    tio




                                                                                                                                                                                                                                                                                                                                                       Env ironment
                                                                            t
                                                                                                                                                             a




                                                                                                  Qual
                                                                                                                                                           ov                    nc
                                                                                                                                                   N                          Fu )
                                                                                                                            t                                                      ld
                                                                                                                          en                                                  (Y
                                                                                                                                                                                ie
                                                                                                                                                                                         ri
                                                                                                                                                                                            c
                                                                                             n                      nm
                                                                                                                     m                                                                et
                                                                                         t io                     ig                                                                m Y                                                                                                                                           mp
                                                                                       la                      Al                                                                r a LY
                                                                                     re                                                                                       Pa        P                                                                                                                                       ra
                                                                                  or                                     n
                                                                                 C od                                t io
                                                                                    Pr                             ca
                                                                                                                   c                                                                     ica
                                                                                 to                              Lo                                         EP                        Le




                                                                  M
                                                                                                                            s                                                             FM
                                                                                                                          te                                                           A
                                                                                                                         si
                                                                                                                    of
                                                                                                                     f                                                                     A
                                                                                                                                                                                           A
                                                                                                               #                                                                       KL L                                                                                                                                          s
                                                                                         zy                                                                                                                                                                                                                                       ol
                                                                                        n                                                                                                                                                                                                                                       to
                                                                                      ue                              ing                                                                                                                                                                                                 of
                                                                                    eq                             pl
                                                                                 Fr                               m
                                                                                                                                                                                                                                                                                                                    #
                                                                                                               Sa
Score
              Zo
                ne
                      Pr
                        es




                                        0
                                                2
                                                        4
                                                                 6
                                                                          8
                                                                                   10
                                                                                            12
                                                                                                     14
                                                                                                              16
                                                                                                                       18
                                                                                                                                20
                                                                                                                                         22
                                                                                                                                                  24
                                                                                                                                                           26
                                                                                                                                                                    28
                                                                                                                                                                             30
                                                                                                                                                                                      32
                                                                                                                                                                                               34
                                                                                                                                                                                                        36
                                                                                                                                                                                                                 38
                                                                                                                                                                                                                          40
                             su
                                 re
                                    s
                     H Nov
                        ea
                            d a
                               ty
                                  pe
                               R
                        G 2R
                           ro
                              o
                      R ve
                 H       et         s
                                                                                                                                                                                                                                                  Define X’s
                   ea . R
                       d         in
                 C re g
                   on bu
                       di         i
                           tio ld
                              ni
                      R
                          a ng
                  R mp
                    am -
                          p- up
                             do
                                 w
                                    n
                   ST
                        I         E
                                                                                                                                                                                                                                                  Pareto C&E Matrix:




                  Ta D E P
                     bl PT
                C eS H
                  ar
                    rie pe
                         r S ed
                              pe
                C                 ed
                 on
                     tr
                       ol Top
                            Li
                               m
                       Lo its
                            ca




Potential X
                                tio
                                    n
                                                                                                                                                                                                                                    C&E ranking




                               Su
                                    b
                              PC
                          O P
                            C
                                A
                                  P
                          SE s
                               LO
                         A         X
                   Sl A H
                     ur D
                                                                                                                                                                                                                                                  D




                          ry P
              C                Fl
                                  ow
               on
                 su
                     m         Ty
                                  p
                  C life e
                    al         tim
                       ib           e
                          r
                                                                                                                                                                                                                                                  M




                  D ati
                    is
                        tr ons
                  C ib u
                    o n t io
                         di         n
                            t io
                                 ne
                                                                                                                                                                                                                                                  A




                            O r
                                th
                                   er
                                                                                                                                                                                                                                                  I




                                        0.00%
                                                5.00%
                                                        10.00%
                                                                 15.00%
                                                                          20 00%
                                                                                   25.00%
                                                                                            30.00%
                                                                                                     35.00%
                                                                                                              40.00%
                                                                                                                       45.00%
                                                                                                                                50.00%
                                                                                                                                         55.00%
                                                                                                                                                  60.00%
                                                                                                                                                                    70.00%
                                                                                                                                                                             75.00%
                                                                                                                                                                                      80.00%
                                                                                                                                                                                               85.00%
                                                                                                                                                                                                        90.00%
                                                                                                                                                                                                                 95.00%




                                                                          20.00%
                                                                                                                                                           65 00%
                                                                                                                                                           65.00%
                                                                                                                                                                                                                          100.00%




                                                                                                                           % cum
                                                                                                                                                                                                                                                  C
Measure Phase Summary
 & LSS Tools used                         D      M        A     I   C

Summary:                                Tools/methodologies used:
- Mapped material flow through AA       - Process Flow Diagram
  TEOS CMP area                         - Data Collection
- Collected data for current process    - Capability Analysis
  and b
    d benchmark
           h    k
                                        - Benchmarking
- Goal is 70% gap: 320A
                                        - C&E Fishbone
- Current capability is less than 2%
                                        - C&E Matrix
- Used 6M Fishbone Diagram to
                                        - Pareto Diagram
  identify inputs to uniformity/range
                                        - Potential X’s
                                                    Xs
- Surveyed CMP experts to create
  C&E matrix
- Identified TOP5 inputs using Pareto
                    p        g
  Diagram
TOP 5 inputs &
   proposed changes X=f(x)                                    D        M        A        I        C

   C&E            1st level           Root                                                                   Capital
                                               Score         Proposed Changes                Resources
 Category         Category           Cause                                                                  required
                                                       Determine preferable ZP
Method      Recipe            Zone Pressures    38     adjustments to minimize CMP       CMP PE / R2R         NO
                                                       non-uniformity
                                                       Analyze process capability
Machine     Tool capability   Head type         36                                       CMP PE               NO
                                                       between Gen I and Gen II tools
                                                       Evaluate usage of Nova in-situ
           In-situ                                                                       Nova / CMP PE /
Meaurement                    Nova              36     film thickness measurment tools                       YES
           Measurements                                                                  CMP EE
                                                       on Ebara FREX 200 toolsets
                                                       Develop methodology to
                                                                                         IT / Eb
                                                                                              Ebara / CMP
                                                       automatically adjust tool para-
Method      Automation        Run-2-Run         33                                       PE / CMP EE /       YES
                                                       meters to minimize CMP non-
                                                                                         R2R
                                                       uniformity
                                                       Evaluate X-Y grooved p used
                                                                    g       pad
Material
M t i l     Pad
            P d               Grooves
                              G                 32                                       CMP PE               NO
                                                       at QR3
Radial Profiles:
                           12-pt. vs. 85-pt.                                                              D           M            A           I         C
                                                                         Post Oxide - Radial Profiles
                           3300

                                                                                                                                                              Local
                           3200
                                                                                                                                                              Underpolish
                           3100


                           3000
Post Oxide thickness [A]




                           2900

                                               Center Airbag                  Ripple Airbag                    Outer Airbag            Edge Airbag            Both defects
                           2800
                                                                                                                                                              “Yield Killers”
                                                                                                                                                              “Yi ld Kill ”
                           2700


                           2600


                           2500


                           2400       85-pt.             12-pt. thickness measurement are used                                                                Local
                                      12-pt.
                                         p
                                                         in production for capacity reasons
                                                                                    reasons.                                                                  Overpolish
                           2300
                                  0   5        10   15   20    25   30   35     40   45   50    55   60   65     70   75      80   85     90       95   100
                                                                                      Radius [mm]
Tool capability Improvement:
           Head style                                                                D        M      A       I      C
Oneway Analysis of WIW OXRG By Head

           900                                                                           Observation:
           800                                                                           Gen I head (CMP 22) has in average a 70A
                                                                                                    (CMP_22)
           700                                                                           higher range than Gen II head (CMP_23/26)
WIW OXRG




           600

           500
                                                                                         Conclusion:
                                                                                         Two options for improvement:
W




           400
                                                                                         1. Use only Gen II tools and use Gen I only
           300
                                                                                            as backup only when WIP is high
           200                                                                              (tool dedication per dispatch software
                       GEN I                    GEN II          Each Pair                   and per SOP).
                                                                Student's t
                                                                0.05
                                                                                         2. Upgrade CMP_22 to Gen II head
                                         Head
                                                                                            capability (approx $140K).
Missing Rows               9                                                                (To be implemented after capital
           Quantiles                                                                        freeze lifted).
   Level         Minimum     10%      25%            Median     75%      90% Maximum
   GEN I         256.492 332.5238 383.1553          435.597 488.5748 589.5398 845.6733   Improvements:
   GEN II        195.194 265.6953 306.2185           366.73 433.315 539.445      921.5
                                                                                         From: 454A
           Means and Std Deviations
                                                                                         To:   386A
   Level  Number                 Mean     Std Dev Std Err Mean Lower 95% Upper 95%
                                                                                         Δ:    68A
   GEN I     200               454.292    117.877       8.3352     437.86   470.73
   GEN II    304               385.894    119.490       6.8532     372.41   399.38
Analysis Phase Summary
 & LSS Tools used                           D      M         A   I   C

Summary:                                 Tools/methodologies used:
- Identified TOP5 critical inputs (X)    - ID critical X’s
                                                       Xs
  and performed root cause analysis      - Root Cause Analysis
  (RCA) to identify input factors (x)
                                         - DOE Planning
- A l i was b i f DOE
  Analysis  basis for DOEs
                                         - Data Collection
- Performed 85-pt. measurement to
                                         - Graphical Analysis
  identify the “true” profile of Oxide
                                         - ANOVA
- Oxide Range driven by zone 4 only
                                         - Hypothesis Testing
- Compared CMP tools with old GEN I
  head to ones with new GEN II head      - Quick Improvements
  => GEN II has lower OxRg
- Implemented to use GEN I tools as
  “back-up only” (EASY WIN)
New pad type DOE:
Experimental Plan                                     D         M     A       I       C


Practical Problem Statement:                        Factors & Levels of interest:
- The current 12 pt WIW range is 375A
               12-pt.                               - Pad (POR, XY groove)
                                                          (POR XY-groove)
  (4-week average) and therefore above our          - Slurry flow (100 ml/min, 250 ml/min)
  project target of 320A (primary effect)
                                                    - Table Speed (50 rpm, 120 rpm)
- The current 13-pt. WIW range of larger than
                 p           g       g
                                                    - C i S
                                                      Carrier Speed (50 rpm, 120 rpm)
                                                                  d                 )
  900A is also not acceptable (secondary effect)



Experimental Objective Statement:                   Experiment & Sample Size
- The objective of this experiment/DOE is to find   - 2K Factorial Design
  a new process (consumable and process             - 4 factors
  settings) to achieve results comparable to the
        g )                       p
                                                    - N center points, bl ki or replicas
                                                      No   t     i t blocking      li
  QR3 80nm Ebara process:
                                                    - 16 runs
 - 12-pt. WIW range < 320A
                                                    - Run DOE on CMP_23CM (Gen II head)
 - 13-pt. WIW range < 600A
New pad type:
DOE Optimizer & Tolerance   D   M         A         I       C


                                    The sweet spot is at:
                                    -   TS: 50rpm
                                    -   CS: 51rpm
                                        (1rpm offset to TS required)
                                    -   SF: 100ml/min
                                    Results: WIW range of 285A


                                    Settings below cause defectivity,
                                    endpoint issues & slow p
                                        p                  process speeds
                                                                      p


                                    10% deviation from those values still
                                    allows to achieve target of 320A
New pad type:
     Process Optimization                                           D      M        A         I       C
Estimated Effects and Coefficients for EP (coded units)
Term     Effect    Coef      SE Coef   T       P             Estimated Coefficients for Oxrg12 using data in
                                                             uncoded units
Constant 118.5     1.8       65.5      0.000
Pad      13.7      6.8       1.8       3.7     0.005         Term         Coef

TS       -42.2     -21.1     1.8       -11.5   0.000         Constant     -141

CS       -16.6     -8.3      1.8       -4.5    0.001         Pad          -120

SF       11.3       5.7      1.8       3.1     0.012         TS           1.6
                                                             CS           4.5
                                                                          45
                                                             SF           2.7
R-Sq = 95.52%
                                                             Pad*TS       1.8
                                                             CS*SF        -0.02
Conclusions:
All main factors are significant.                            Formula:
Two 2-way interactions are significant: Pad*TS & CS*SF       OxRg(12) = -141 - 120*Pad + 1.6*TS + 4.5*CS +
The optimum process settings are:                                       2.7*SF + 1.8*Pad*TS - 0.02*CS*SF
XY-grooved pad                      Table Speed: 50rpm
                                                             with (POR = -1, XY = +1)
Carrier Speed: 51rpm                Slurry Flow: 100ml/min

Slurry flow below 100ml/min causes microscratches.
     y                                                       Physical meaning:
                                                             The O id
                                                             Th Oxide range decreases with use of XY pad and
                                                                              d              ith    f       d d
 Per CMP experienced TS and CS are matched with 1rpm         decreasing slurry flow, carrier speed and table speed until
off-set.                                                     the process speed is to slow to be manufacturable.
New pad type split lots:
                        Radial Profiles and Range                                                                                D                M                   A              I          C

                                                              Radial Profiles: OLD vs. NEW                                                  Oneway Analysis of Range12 By Split1
             3300
                                                                                                                                                      1100
                               CAP                                            RAP                       OAP                                           1000
             3200
                                                                                                                                                       900
                                                                                                                                                       800
             3100




                                                                                                                                            Range12
                                                                                                                                                       700

             3000                                                                                                                                      600
                                                                                                                                                       500

             2900                                                                                                                                      400
PostOx [A]




                                                                                                                                                       300
             2800                                                                                                                                      200
                                                                                                                                                                        POR                   XY

             2700
                                                                                                                                                                                 Split1

                                                                                                                                                      Quantiles
             2600
                                                                                                                                               Level         Minimum       10%      25%     Median     75%      90% Maximum
                                                                                                                                               POR            315.43    366.895 429.2275   501.785 709.0125   824.48 1005.18
             2500
                             OLD   OLD:                      NEW:                                                                              XY             214.08    283.012 321.0725   387.845 412.9775   488.67  613.05
                                   12-pt. range: 533A        12-pt. range: 385A
                             NEW   max. range: 794A          max. range: 504A                                                                         Means and Std Deviations
             2400
                                                                                                                                               Level         Number      Mean    Std Dev Std Err Mean Lower 95% Upper 95%
                                                                                                                           EAP                 POR              94     563.400   174.446       17.993     527.67   599.13
             2300                                                                                                                              XY               52     378.785    79.771       11.062     356.58   400.99
                    0    5    10   15   20     25       30      35    40     45   50    55   60   65   70   75   80   85    90   95   100
                                                                              Radius [mm]



               Improvements:                                    Difference in 12-pt. WIW range to DOE (378A vs. 285A) is due to fact, that split lots had to be
                                                                run on MP_22CM (Gen I head) as other tools were down or used for production. Also zone
               From: 563A                                       pressures were not optimized on that tool (CMP 22CM)
                                                                                                          (CMP_22CM).
               To:   378A                                       The main improvement of the new process is the improved profile shape. The “hump” in the
               Δ:    185A                                       edge zone is gone, which allows to adjust the EP without either a) overpolish the outer edge
                                                                (AA completely erased) or b) underpolish the inner edge (Nitride residual) – both killer defects.
New pad type overpolish:
Process Window experiment   D     M          A         I   C



                                Delta Nitride target




                                      This process window experiment
                                      proves that the Post Oxide thick-
                                      ness and Nitride thickness (Delta
                                      Nitride target of 40A) correlate very
                                      well with the overpolish time (PPID
                                      step 10).
                                       t 10)
                                      The new process has a lower Oxide
                                      and Nitride removal rate, so the OP
                                      polish time has to be adjusted (from
                                      10 sec. with POR to 40 sec. with
                                      new process)
Zone Pressure adjustments:
                       Semi-automatic ZP calculator                                                     D      M      A      I      C
Oneway Analysis of WIW_range_ 12 By Split
             1500

             1300                                                                                    Ran three factorial DoE for zone pressures:
WI W_range_1 2




             1100
                                                                                                     •CAP OAP EAP were varied
                                                                                                      CAP, OAP,           i d
                 900

                 700                                                                                 •RAP stayed constant as reference
                 500

                 300
                                                                                                     Automation group created a model which
                 100
                                NEW                         OL D                                     took into account the zone pressures and
                                               Split                                                 thickness variation between the zones.
Missin g Rows                      1
                                                                                                     The model was automated and new ZP
                 Quantiles
      Lev el            Minimum           10%       25%     Median         75%       90% Maximu m    values were calculated every hour.
      NEW              152.2 275       265.8 08 308.2 875 370.5525       443.6 9 543.5 888 1540.73
      OLD                241.3 5        381.73   440.571 517.9033       686.774 833.2 88 1205 .733   Process technicians performed ZP
                 Means and Std Deviations
                                                                                                     adjustments manually at the start of a every
      Lev el           Number           Mean   Std Dev Std Err Mean Lower 95%        Upper 95%
      NEW                1443      397.750     142.7 19       3.757 1       390.38      405.12       lot.
      OLD                 349      567.634     175.0 06       9.367 9       549.21      586.06


        Improvements:                                     Remark:                        Next steps to repeat the DoE with the new
        From: 568A                                        This was performed with the    improved process and fully automate the
        To:   398A                                        old pad process. Results don’t methodology once the capital freeze is lifted.
        Δ:    170A                                        include new XY pad process.
“Just Do It” Improvements
                                                 D       M     A         I    C

1) ZP calculator through JMP (reduce Oxide range)
   -    Same calculator as semi-automatic web based one
   -    Can’t be used by technicians in Fab (only for Engineering use)
   -    Temporary solution, now back-up for Web calculator
2) New ramp process (eliminate KV rings – Litho holds)
   - Process matches QR3 process (benchmark) and should minimize KV rings
   - Showed slight uniformity improvements
   - Currently running pilot
3) New wafer maps (standardize measurements points between all parts)
   - Develop similar maps for all products
   - Points evenly spaced between all 4 zones (center, ripple, outer, edge)
   - Only one point at 95mm radius
   - Affects mainly CD80 products
Process Mapping:
Detailed AA CMP process flow   D   M   A   I   C
Improvement plan:
Summary and “go forward”   D   M   A   I       C




                                       Added following improve-
                                       ments (easy fixes):
                                       - Improved head rebuild
                                          (start date pulled in –
                                           see Control Phase)
                                       - Ertalyre retaining ring
                                         (experiment failed)
Improve Phase Summary
 & LSS Tools used                          D     M       A     I    C

Summary:                                Tools/methodologies used:
- Ran several DOE’s to
              DOE s                     - Screening DOE
  - Determine best pad type             - Quantifying DOE
  - Optimize polish parameters          - Optimizing DOE
  - Analyze zone pressures              - Verify critical Xs
- New pad type and polish parameters    - Regression Analysis Y=F(X)=f(x)
  improved 12 pt OxRg by 33%
           12-pt.                       - Automation
- Created model for influence of zone   - Pilot Trials
  pressures on uniformity
                                        - Process Flow Diagram
- Used model to created a semi-
                                        - Standardization
  automated calculator to update zone
  p
  pressures on a regular basis
                   g
- Remapped Process Flow
  (added steps for ZP adjustments)
Capability analysis:
     Before and after                                                                                                                     D                     M                    A                      I               C

May-08 data                                                                                                                      Sep-08 data
                               Process Capability of OXRG P7RSLTX                                                                                                        Process Capability of Range

                                      USL                                                                                                                                             USL
       P rocess D ata                                                                                 Within                            P rocess D ata                                                                                  Within
LS L               *                                                                                  Overall                    LS L               *                                                                                   Overall
Target             *                                                                                                             Target             *
USL                320                                                                         P otential (Within) C apability   USL                320                                                                          P otential (Within) C apability
S ample M ean      585.894                                                                           Z.Bench      -2.45          S ample M ean      356.58                                                                             Z.Bench      -0.44
S ample N
     p             305                                                                               Z.LS L           *          S ample N
                                                                                                                                      p             130                                                                                Z.LS L           *
S tDev (Within)    108.412                                                                           Z.U S L      -2.45          S tDev (Within)    83.6199                                                                            Z.U S L      -0.44
S tDev (O v erall) 174.87                                                                            C pk         -0.82          S tDev (O v erall) 110.688                                                                            C pk         -0.15
                                                                                                    O v erall C apability                                                                                                             O v erall C apability
                                                                                                     Z.Bench      -1.52                                                                                                                Z.Bench      -0.33
                                                                                                     Z.LS L           *                                                                                                                Z.LS L           *
                                                                                                     Z.U S L      -1.52                                                                                                                Z.U S L      -0.33
                                                                                                     P pk         -0.51                                                                                                                P pk         -0.11
                                                                                                     C pm             *                                                                                                                C pm             *




                                     300      450        600    750       900      1050 1200                                                                  100        200       300       400        500        600     700
O bserv ed P erformance      E xp. Within P erformance    E xp. O v erall P erformance                                           O bserv ed P erformance      E xp. Within P erformance     E xp. O v erall P erformance
   % < LS L         *            % < LS L         *            % < LS L           *                                                 % < LS L         *            % < LS L         *             % < LS L           *
   % > U S L 98.03               % > U S L 99.29               % > U S L 93.58                                                      % > U S L 51.54               % > U S L 66.91                % > U S L 62.95
   % Total      98.03            % Total      99.29            % Total        93.58                                                 % Total      51.54            % Total      66.91             % Total        62.95




% achieving goal:                                                                                                                % achieving goal:
< 2%                                                                                                                             > 48% (and improving)


     Remark:
     320A is the goal for mean value and not the Upper Spec Limit.
     As there is no spec limit existing we used the goal for the capability calculation.
Primary Metric:
               Film Thickness Improvement          D         M       A      I      C


                                            Start of Control Phase

               900                                                   Combined effect of:
                                                                     C             ff    f
                                                                     - New XY process
               800                                                   - Gen II head preference
                                                                     - ZP calculator
               700
   _range_12
           2




                                                                     -IImproved h d rebuild
                                                                               d head b ild
                                                                     - “Just Do It” improvements
               600
               500
WIW_




               400
                                                                     GOAL: 320A
               300                                                   Two weeks at goal:
                                                                     Average WIW range: 317A
               200
               100
                        8-32
                        8-33
                        8-34
                        8-35
                        8-36

                        8-38
                        8-39
                        8-40
                        8-41
                        8-42
                        8-43
                        8-44
                        8-45
                        8-46
                        8-47
                        8-48
                        8-49
                        8-50
                        8-51
                        8-52
                        8-37




                        8-53
                     FW08
                     FW08


                     FW08


                     FW08
                     FW08

                     FW08
                     FW08

                     FW08
                     FW08

                     FW08
                     FW08


                     FW08
                     FW08
                     FW08

                     FW08
                     FW08


                     FW08


                     FW08


                     FW08


                     FW08
                     FW08

                     FW08

                                     FW
Control Plan
               D   M   A   I   C
Transition Plan
                  D   M   A   I   C
Control Phase Summary
 & LSS Tools used                         D      M       A   I      C

Summary:                                Tools/methodologies used:
- Capability improved from 2% (May
                                (May-   - Control Plan
  08) to > 48% (Sep-8)                  - Poka-Yoke
  (320A is a goal not a spec limit)
                                        - SPM
- R
  Reached goal (O R < 320A) i
       h d   l (OxRg         in
                                        - SPC
  FW52/53
  (w/o NOVA or Run-2-Run control)       - OCAP
- Added head rebuild improvements       - Transition Plan
- Created the following documents:      - Final Report
  - Control Plan (see Appendix)
  - Transition Plan (see Appendix)
  - Final Report
            p
- Closed Project
Project Summary:
Big wins                                      D      M      A      I     C


• Achieved goal of AA Post Oxide within Wafer Range of 320A or less
• Yi ld i
  Yield improvement of 2.3%
                  t f 2 3%
• Annual financial return of $ 4.1M
• Improved performance to target from less than 2% to greater than 48%
• Model that helps to adjust zone air pressure on a continuous basis
• Optimized process with a favorable thickness profile
• Methodology to select tools with better capability automatically
• Better understanding of pad type and process conditions
•M
 More accurate data collection f wafer profile
            t d t     ll ti for    f      fil
• Short project time of less than 5 months (means less downtime for CMP production)
• Future NOVA implementation will drastically reduce AA CMP CT
                p                           y
• Future Run-2-Run control will allow CMP technicians to focus on Mfg issues
Next Steps:
Future Improvement                             D     M     A         I   C


1) Upgrade Generation I toolset to Generation II head type
2) Fully qualify the new XY pad process (incl. new ramp)
3) Perform Zone Pressure DOE with new pad type and create semi-automatic
   Zone Pressure web calculator for new process (add to CMP Intra net)
                                                            Intra-net)

4) Fully automate Zone Pressure control (Run-2-Run control)
   •   Total “hands-off” operation for CMP Mfg and CMP technicians
5) Install and set-up Nova in-situ film thickness measurement system
   •   Faster feed back if uniformity is OOC
   •   Minimize
       Mi i i number of l t / f
                    b    f lots/wafers exposed t OOC condition
                                               d to     diti
       (automatic adjustments while lots is running)
   •   Decrease cycle time by “eliminating” pre and post film thickness measurements
       in a separate step
AA TEOS CMP
Before, After & Future   D   M   A   I   C
Any


      uestions?
Thank
Th k you




The World’s Leading
Creative Memory Company
APPENDIX
(tool summary & signatures, glossary)
DMAIC Tool Summary
DEFINE Tollgate
MEASURE Tollgate
ANALYZE Tollgate
IMPROVE Tollgate
CONTROL Tollgate
Glossary


6S         Six Sigma

A          Angstrom                        (10‐10m)
AA         Active Area
ANOVA      Analysis of Variance
ASP             g        g
           Average Selling Price

BB         Black Belt
BMG        Breakthrough Management Group   (BMGI ‐ Copyright BMGI. All rights reserved

C&E        Cause & Effect
CAP        Center Air Pressure
CMP        Chemical Mechanical Polishing
           Chemical Mechanical Polishing
CMP_XX     CMP tools XX
CoO        Cost of Ownership
Cpk        Process Capability
           Process Capability
CS         Carrier Speed
CT         Cycle time
Glossary


DMAIC            Define, Measure, Analyze, Improve, Control
DOE              Design of Experiment

EAP              Edge Air Pressure
EBIT             Earnings Before Interest and Tax(es)
EE               Equipment Engineering
                 Equipment Engineering
EP               Endpoint

Fab              Chip plant
FILMS            Thin Film Deposition

GB               Green Belt
Gen I / Gen II
G I / G II       Generation I / II
                 G     ti I / II

L6S              Lean Six Sigma                               (same as LSS)
LSL              Lower Spec Limit
LSS              Lean Six Sigma
Glossary


MBB         Master Black Belt
Mfg         Manufacturing
MTY         Metrology

OAP         Outer Air Pressure
OCAP        Out of Control Action Plan
            Out of Control Action Plan
OOC         Out of Control
OP          Overpolish
OxRg        Oxide Range
            Oxide Range
OxRg(12)    12 point Oxide Range         (same as OxRg)
OxRgMean    Mean Oxide Range             (same as OxRg)

PCP         Polishing Chamber Pressure
PE          Process Engineering
PI          Process Integration
POR         Process of Record
Glossary


QNA     Qimonda North America
QR2     Qimonda Richmond 200mm Plant
QR3     Qimonda Richmond 300mm Plant

R2R     Run‐2‐Run
RAP     Ripple Air Pressure
        Ripple Air Pressure
RCA     Root Cause Analysis
ROCE    Return On Capital Employed
ROI     Return of Investment
        Return of Investment
RTD     Real Time Dispatch

SF      Slurry Flow
SOP     Standard Operating Procedure
SPC     Statistical Process Control
SPM     Statistical Process Monitoring
StDev   Standard Deviation
Glossary


T80           Technology 80nm
TEOS          Tetraethylorthosilicate
TS            Table Speed

USL           Upper Spec Limit

VOC           Voice of Customer

WETS          Wet Clean and Etch
WIW (range)
WIW (range)   Within Wafer (range)
              Within Wafer (range)      (same as OxRg)
                                        (same as OxRg)
WSPW          Wafer Starts Per Week

YB            Yellow Belt
YBS3          Final Wafer Yield

ZP            Zone Pressure

More Related Content

What's hot

What's hot (20)

Crosstalk
CrosstalkCrosstalk
Crosstalk
 
Chapter 5b
Chapter 5bChapter 5b
Chapter 5b
 
Packaging of vlsi devices
Packaging of vlsi devicesPackaging of vlsi devices
Packaging of vlsi devices
 
OVERVIEW OF IC PACKAGING
OVERVIEW OF IC PACKAGINGOVERVIEW OF IC PACKAGING
OVERVIEW OF IC PACKAGING
 
Nmi Presentation Sept 2007
Nmi Presentation Sept 2007Nmi Presentation Sept 2007
Nmi Presentation Sept 2007
 
SOI
SOISOI
SOI
 
Short channel modified
Short channel modifiedShort channel modified
Short channel modified
 
Ic technology- diffusion and ion implantation
Ic technology- diffusion and ion implantationIc technology- diffusion and ion implantation
Ic technology- diffusion and ion implantation
 
Lect5 Diffusion
Lect5 DiffusionLect5 Diffusion
Lect5 Diffusion
 
Device isolation Techniques
Device isolation TechniquesDevice isolation Techniques
Device isolation Techniques
 
CMOS Fabrication using P-well -VLSI
CMOS Fabrication  using P-well -VLSICMOS Fabrication  using P-well -VLSI
CMOS Fabrication using P-well -VLSI
 
15 mosfet threshold voltage
15 mosfet threshold voltage15 mosfet threshold voltage
15 mosfet threshold voltage
 
Mask fabrication process
Mask fabrication process Mask fabrication process
Mask fabrication process
 
VLSI FUNDAMENTALS--ABU SYED KUET
VLSI FUNDAMENTALS--ABU SYED KUETVLSI FUNDAMENTALS--ABU SYED KUET
VLSI FUNDAMENTALS--ABU SYED KUET
 
MOSFET and its physics
MOSFET and its physicsMOSFET and its physics
MOSFET and its physics
 
MOSFET, SOI-FET and FIN-FET-ABU SYED KUET
MOSFET, SOI-FET and FIN-FET-ABU SYED KUETMOSFET, SOI-FET and FIN-FET-ABU SYED KUET
MOSFET, SOI-FET and FIN-FET-ABU SYED KUET
 
Non Linear Effects in Fiber Optic Systems
Non Linear Effects in Fiber Optic SystemsNon Linear Effects in Fiber Optic Systems
Non Linear Effects in Fiber Optic Systems
 
Metallization
MetallizationMetallization
Metallization
 
Double Patterning (4/2 update)
Double Patterning (4/2 update)Double Patterning (4/2 update)
Double Patterning (4/2 update)
 
Wire bonding
Wire bondingWire bonding
Wire bonding
 

Viewers also liked

CMP Dow Goldman7 12 05
CMP Dow Goldman7 12 05CMP Dow Goldman7 12 05
CMP Dow Goldman7 12 05UC Berkeley
 
zazzera.Larry Zazzera 3M
zazzera.Larry Zazzera 3Mzazzera.Larry Zazzera 3M
zazzera.Larry Zazzera 3Mguest1f1b27
 
Chemical Vaour Deposition & Physical Vapour Deposition techniques.
Chemical Vaour Deposition & Physical Vapour Deposition techniques.Chemical Vaour Deposition & Physical Vapour Deposition techniques.
Chemical Vaour Deposition & Physical Vapour Deposition techniques.Tapan Patel
 
CVD AND PVD THIN FILM TECHNIQUES
CVD AND PVD THIN FILM TECHNIQUESCVD AND PVD THIN FILM TECHNIQUES
CVD AND PVD THIN FILM TECHNIQUESHHV SOLAR Pvt Ltd
 

Viewers also liked (7)

CMP Dow Goldman7 12 05
CMP Dow Goldman7 12 05CMP Dow Goldman7 12 05
CMP Dow Goldman7 12 05
 
zazzera.Larry Zazzera 3M
zazzera.Larry Zazzera 3Mzazzera.Larry Zazzera 3M
zazzera.Larry Zazzera 3M
 
By final
By finalBy final
By final
 
Chemical Vaour Deposition & Physical Vapour Deposition techniques.
Chemical Vaour Deposition & Physical Vapour Deposition techniques.Chemical Vaour Deposition & Physical Vapour Deposition techniques.
Chemical Vaour Deposition & Physical Vapour Deposition techniques.
 
Physical vapor deposition
Physical vapor depositionPhysical vapor deposition
Physical vapor deposition
 
Thin films
Thin filmsThin films
Thin films
 
CVD AND PVD THIN FILM TECHNIQUES
CVD AND PVD THIN FILM TECHNIQUESCVD AND PVD THIN FILM TECHNIQUES
CVD AND PVD THIN FILM TECHNIQUES
 

Similar to Qna L6 S Project Aa Cmp Oxide Range Reduction (Oct 08)

LinkedIn – Engineer II at Infineon Technologies
LinkedIn – Engineer II at Infineon TechnologiesLinkedIn – Engineer II at Infineon Technologies
LinkedIn – Engineer II at Infineon TechnologiesJan Yeong Koay
 
Sip Iocl 0921411
Sip Iocl 0921411Sip Iocl 0921411
Sip Iocl 0921411Parulmidha
 
DMAIC Methodolgy
DMAIC MethodolgyDMAIC Methodolgy
DMAIC MethodolgyBharath M
 
Cobra 20150428 linkedin ppt
Cobra 20150428 linkedin pptCobra 20150428 linkedin ppt
Cobra 20150428 linkedin pptJim Villalvazo
 
System-on-Chip Design, Embedded System Design Challenges
System-on-Chip Design, Embedded System Design ChallengesSystem-on-Chip Design, Embedded System Design Challenges
System-on-Chip Design, Embedded System Design Challengespboulet
 
2016 03 21 Gamma Nu Theta USA
2016 03 21  Gamma Nu Theta USA2016 03 21  Gamma Nu Theta USA
2016 03 21 Gamma Nu Theta USAAnthony McCray
 
Crumbley.tim
Crumbley.timCrumbley.tim
Crumbley.timNASAPMC
 
Taking the Bull by the Horns with Reliability Centered Maintenance - Trino Pe...
Taking the Bull by the Horns with Reliability Centered Maintenance - Trino Pe...Taking the Bull by the Horns with Reliability Centered Maintenance - Trino Pe...
Taking the Bull by the Horns with Reliability Centered Maintenance - Trino Pe...marcus evans Network
 
Sadler roicc cherry point brief for small business outreach march 2012
Sadler roicc cherry point brief for small business outreach   march 2012Sadler roicc cherry point brief for small business outreach   march 2012
Sadler roicc cherry point brief for small business outreach march 2012NC Military Business Center
 
Offshore Engineering Kavin Engneering Fpso Corporate Profile 2012
Offshore Engineering Kavin Engneering Fpso Corporate Profile 2012Offshore Engineering Kavin Engneering Fpso Corporate Profile 2012
Offshore Engineering Kavin Engneering Fpso Corporate Profile 2012ggurudev
 
Scorpion Motor Noise - JE BB (part)-1
Scorpion Motor Noise - JE BB (part)-1Scorpion Motor Noise - JE BB (part)-1
Scorpion Motor Noise - JE BB (part)-1Peter Zhou
 
Qualcomm QCA9500 60 GHz Chipset - reverse costing report published by System ...
Qualcomm QCA9500 60 GHz Chipset - reverse costing report published by System ...Qualcomm QCA9500 60 GHz Chipset - reverse costing report published by System ...
Qualcomm QCA9500 60 GHz Chipset - reverse costing report published by System ...system_plus
 
Enel's CCS Project Current Status Overview
Enel's CCS Project Current Status OverviewEnel's CCS Project Current Status Overview
Enel's CCS Project Current Status Overviewcanaleenergia
 
SRAM redundancy insertion
SRAM redundancy insertionSRAM redundancy insertion
SRAM redundancy insertionchiportal
 

Similar to Qna L6 S Project Aa Cmp Oxide Range Reduction (Oct 08) (20)

Analytics in the Manufacturing industry
Analytics in the Manufacturing industryAnalytics in the Manufacturing industry
Analytics in the Manufacturing industry
 
Mobide2010
Mobide2010Mobide2010
Mobide2010
 
Magma trcak b
Magma  trcak bMagma  trcak b
Magma trcak b
 
LinkedIn – Engineer II at Infineon Technologies
LinkedIn – Engineer II at Infineon TechnologiesLinkedIn – Engineer II at Infineon Technologies
LinkedIn – Engineer II at Infineon Technologies
 
Sip Iocl 0921411
Sip Iocl 0921411Sip Iocl 0921411
Sip Iocl 0921411
 
DMAIC Methodolgy
DMAIC MethodolgyDMAIC Methodolgy
DMAIC Methodolgy
 
J Zazzera L
J Zazzera LJ Zazzera L
J Zazzera L
 
International Journal of Engineering Inventions (IJEI), www.ijeijournal.com,c...
International Journal of Engineering Inventions (IJEI), www.ijeijournal.com,c...International Journal of Engineering Inventions (IJEI), www.ijeijournal.com,c...
International Journal of Engineering Inventions (IJEI), www.ijeijournal.com,c...
 
Cobra 20150428 linkedin ppt
Cobra 20150428 linkedin pptCobra 20150428 linkedin ppt
Cobra 20150428 linkedin ppt
 
System-on-Chip Design, Embedded System Design Challenges
System-on-Chip Design, Embedded System Design ChallengesSystem-on-Chip Design, Embedded System Design Challenges
System-on-Chip Design, Embedded System Design Challenges
 
2016 03 21 Gamma Nu Theta USA
2016 03 21  Gamma Nu Theta USA2016 03 21  Gamma Nu Theta USA
2016 03 21 Gamma Nu Theta USA
 
Crumbley.tim
Crumbley.timCrumbley.tim
Crumbley.tim
 
Taking the Bull by the Horns with Reliability Centered Maintenance - Trino Pe...
Taking the Bull by the Horns with Reliability Centered Maintenance - Trino Pe...Taking the Bull by the Horns with Reliability Centered Maintenance - Trino Pe...
Taking the Bull by the Horns with Reliability Centered Maintenance - Trino Pe...
 
Sadler roicc cherry point brief for small business outreach march 2012
Sadler roicc cherry point brief for small business outreach   march 2012Sadler roicc cherry point brief for small business outreach   march 2012
Sadler roicc cherry point brief for small business outreach march 2012
 
Offshore Engineering Kavin Engneering Fpso Corporate Profile 2012
Offshore Engineering Kavin Engneering Fpso Corporate Profile 2012Offshore Engineering Kavin Engneering Fpso Corporate Profile 2012
Offshore Engineering Kavin Engneering Fpso Corporate Profile 2012
 
Scorpion Motor Noise - JE BB (part)-1
Scorpion Motor Noise - JE BB (part)-1Scorpion Motor Noise - JE BB (part)-1
Scorpion Motor Noise - JE BB (part)-1
 
Qualcomm QCA9500 60 GHz Chipset - reverse costing report published by System ...
Qualcomm QCA9500 60 GHz Chipset - reverse costing report published by System ...Qualcomm QCA9500 60 GHz Chipset - reverse costing report published by System ...
Qualcomm QCA9500 60 GHz Chipset - reverse costing report published by System ...
 
Enel's CCS Project Current Status Overview
Enel's CCS Project Current Status OverviewEnel's CCS Project Current Status Overview
Enel's CCS Project Current Status Overview
 
Carbon Markets
Carbon MarketsCarbon Markets
Carbon Markets
 
SRAM redundancy insertion
SRAM redundancy insertionSRAM redundancy insertion
SRAM redundancy insertion
 

Qna L6 S Project Aa Cmp Oxide Range Reduction (Oct 08)

  • 1. Lean Six Sigma Project: CMP Oxide Film Thickness Uniformity Improvement y p FINAL REPORT October 2008 Norbert Gloser Qimonda Operational Excellence Qimonda confidential
  • 2. Contents D M A I C 1) Introduction to CMP Basics 2) DMAIC Phase Summary ) y • Define Phase • Measure Phase • Analyze Ph A l Phase • Improve Phase • Control Phase 3) Project Summary 4) Appendix • DMAIC Tool Summary • Tollgate Approvals • Control Plan • Transition Plan • Glossary
  • 3. CMP Basic 1: What is CMP? D M A I C Functional principle of CMP The process uses an abrasive and corrosive slurry in conjunction with a polishing pad. Pad and wafer are pressed together by a dynamic polishing head and held in place by a plastic retaining ring. The dynamic polishing head is rotated with different axes of rotation. This removes material and tends to even out any irregular topography, making the y g p g p y, g wafer flat or planar.
  • 4. CMP Basic 2: How does the 4-Zone Polishing Head work? D M A I C • Each Polishing Head has 4 zones to control Uniformity through varying air pressures: – CAP: Center Air Pressure (0mm - 30mm) – RAP: Ripple Air Pressure (31mm - 64mm) – OAP: Outer Air Pressure (65mm - 84mm) – EAP: Edge Air Pressure (85mm – 100mm) • The Polishing Chamber Pressure (PCP) is used to adjust the overall polishing pressure of the head and normally held constant.
  • 5. DMAIC Methodology D M A I C DEFINE: P j t scope, purpose and customer requirements Project d t i t MEASURE: Baseline of underlying p S y g process ANALYZE: Collect data for trend, root causes, key input drivers IMPROVE: Current process by improving input variation (DOE) CONTROL: Inputs discovered in previous steps
  • 6. CMP Oxide Film Thickness Uniformity Improvement D M A I C Business Case: Opportunity: y The non-uniformity of the a CMP Oxide process contributes Qimonda not satisfied with 80nm technology yield from QR2. extensively to the yield loss at the Qimonda Richmond 200mm Opportunity exists to improve QR2 80nm yield by improving Plant (QR2). uniformity in the AA module. The device yield loss (non-functional chips) is 2.3%. The CMP AA Oxide process is a main contributor to the these yield The reduction of CMP non-uniformity at this step will results in detractors. $4.1 Mio. Savings per year. $4 1 Mi S i Goal: Scope: Reduce AA Post Oxide within wafer range (lot average) from In scope: AA Oxide uniformity, Ebara FREX toolset 550A to 320A by September 2008 (based on comparison to Qimonda Richmond 300m – QR3 bench mark) on T80 product. Not in scope: 110nm, AA defectivity, other CMP processes, AMAT MIRRA toolset Roadmap: Core Team: Role Name 6S BB Norbert Gloser QR2 CMP PE Mark Collins QR2 CMP PE Kam Hettiaratchi QR3 CMP PE Andreas Fischer QR2 CMP EE Nishant Chadha PI Han Park
  • 7. Project Selection VOC and financial return D M A I C ROCE EBIT TAX Capital employed Revenue Cost ASP Volume TtM Prod. Qual. Fab WSPW Financial return: Output - Approx. 4.1 Mio revenue per year Assumptions: A ti R&D time - increase in YBS3 of 2.3% CT Fab Yield Wafer Yield line (additional 11 512MB chips per wafer) - ASP of $1.65/chip AA divots - 5000 WSPW - Yield improvement on all CMP AA 80nm products uniformity
  • 8. Project Definition D M A I C • Problem Statement: • Metrics: • What: Yield loss due to high AA Post • Primary Metric: Oxide within Wafer Range • AA Post Oxide within Wafer Range • Where: CMP AA TEOS in QR2 • Secondary Metrics: • When: Since QR2 T80 start up start-up • Yield • Problem indicator: Yield loss, Physical • Consequential Metrics: Failure Analysis, QR3 benchmark results • Cycle Time, Cost of Ownership ( y , p (CoO) ) • Financial Metric: • Objective Statement: • Yield gain: 2.3% • Currently: OxRgMean = 544A • Chi output: 55 000 ( Chip t t 55,000 (per week) k) • E titl Entitlement: O R Mean = 230A t OxRg (Est. depending on weekly wafer starts (QR3 benchmark) and product mix) • Goal: OxRgMean = 320A • T t l ROI: $4 1 Mi ( Total ROI $4.1 Mio. (per year) ) (70% of gap t b f to benchmark) h k) (Est. depending on chip price)
  • 9. Project Team D M A I C
  • 10. Define Phase Summary & LSS Tools used D M A I C Summary: Tools/methodologies used: - Used VOC to select project - Voice of customer (VOC) - Defined problem statement - Defined AA Post Oxide within Wafer Rang as primary metric - Defined secondary and consequential metrics - Provided currently capability and compared it to benchmark - Set goal for primary metric based on 70% gap - Identified ROI of $4.1 Mio. Per y $ year - Selected team members
  • 12. Bench Marking and Process Capability D M A I C QR2 QR3 (benchmark) OXRG mean Mean(Range(Post Ox)) 70% of gap to QR3 performance 1000 1000 900 900 => target: 320A g 800 800 700 700 Remark: 320A is the goal for mean value and not the Upper Spec Limit. 600 600 As there is no spec limit existing we used the goal for the capability calculation. 500 500 400 400 Process Capability of OXRG P7RSLTX 300 300 200 200 USL 100 100 P rocess D ata Within 0 0 LS L * Ov erall Target * USL 320 P otential (Within) C apability Quantiles Quantiles S ample M ean 585.894 Z.Bench -2.45 100.0% maximum 864.61 100.0% maximum 376.17 S ample N 305 Z.LS L * 99.5% 864.61 99.5% 376.17 S tD ev (Within) 108.412 Z.U S L -2.45 97.5% 790.87 97.5% 362.17 S tD ev (O v erall) 174.87 C pk -0.82 90.0% 698.74 90.0% 302.03 O v erall C apability 75.0% quartile 602.62 75.0% quartile 275.67 Z.Bench -1.52 50.0% median 531.53 50.0% median 221.50 Less than Z.LS L * 25.0% quartile 457.22 25.0% quartile 199.83 2% Z.U S L -1.52 P pk -0.51 10.0% 410.36 10.0% 161.78 of lots meet C pm * 2.5% 376.45 2.5% 124.57 320A target 0.5% 361.14 0.5% 96.17 0.0% minimum 361.14 0.0% minimum 96.17 Moments Moments Mean 543.76823 Mean 230.39178 300 450 600 750 900 1050 1200 Std Dev 108.65107 Std Dev 54.104032 O bserv ed P erformance E xp. Within P erformance E xp. O v erall P erformance Std Err Mean 10.087998 Std Err Mean 6.4209673 % < LS L * % < LS L * % < LS L * upper 95% Mean 563.75062 upper 95% Mean 243.198 % > U S L 98.03 % > U S L 99.29 % > U S L 93.58 lower 95% Mean 523.78585 lower 95% Mean 217.58557 % Total 98.03 % Total 99.29 % Total 93.58 May-08 data N 116 N 71
  • 13. C (non)unifor I A A C MP mity A C&E Diagram - AA CMP Uniformity Improvement M Personnel Tool capability Manual ops PMs D Personnel l Machines e m fg d ad st M at e He ju r Ad pe pe o ns ty P s io nt E d at P rat e br e Warm-up wfrs ID n e er r ali l SW on PP t io du Product type op m bb C i Consum lifetime c le D C he rs Head rebuild se Nu Sc ve e Incoming p Process Control Rework ty Automation l Conditioner oo T Procedures Head y Slurry ta PPID Pad da 2R Methods H Material M t i l T re R u EP su E r ib ID P ea l FM ist ST H D tr o ti di i br M on e D A a um on T v n em A X at C s it oc C e roo t io io M LO ld im D tion Zo n G t e SE oo L c ta A tr a an T en OC P P Fil R e Sp ts Fishbone Diagram: s R r op i ie rr le T n pe Lim Ca y PM ab ry b T T u r p- Su OP Slm Uniformity = F(X) Ex-situ a m R Ra Prorities In-situ Product al Workload Measurements n tio Env ironment t a Qual ov nc N Fu ) t ld en (Y ie ri c n nm m et t io ig m Y mp la Al r a LY re Pa P ra or n C od t io Pr ca c ica to Lo EP Le M s FM te A si of f A A # KL L s zy ol n to ue ing of eq pl Fr m # Sa
  • 14. Score Zo ne Pr es 0 2 4 6 8 10 12 14 16 18 20 22 24 26 28 30 32 34 36 38 40 su re s H Nov ea d a ty pe R G 2R ro o R ve H et s Define X’s ea . R d in C re g on bu di i tio ld ni R a ng R mp am - p- up do w n ST I E Pareto C&E Matrix: Ta D E P bl PT C eS H ar rie pe r S ed pe C ed on tr ol Top Li m Lo its ca Potential X tio n C&E ranking Su b PC O P C A P SE s LO A X Sl A H ur D D ry P C Fl ow on su m Ty p C life e al tim ib e r M D ati is tr ons C ib u o n t io di n t io ne A O r th er I 0.00% 5.00% 10.00% 15.00% 20 00% 25.00% 30.00% 35.00% 40.00% 45.00% 50.00% 55.00% 60.00% 70.00% 75.00% 80.00% 85.00% 90.00% 95.00% 20.00% 65 00% 65.00% 100.00% % cum C
  • 15. Measure Phase Summary & LSS Tools used D M A I C Summary: Tools/methodologies used: - Mapped material flow through AA - Process Flow Diagram TEOS CMP area - Data Collection - Collected data for current process - Capability Analysis and b d benchmark h k - Benchmarking - Goal is 70% gap: 320A - C&E Fishbone - Current capability is less than 2% - C&E Matrix - Used 6M Fishbone Diagram to - Pareto Diagram identify inputs to uniformity/range - Potential X’s Xs - Surveyed CMP experts to create C&E matrix - Identified TOP5 inputs using Pareto p g Diagram
  • 16. TOP 5 inputs & proposed changes X=f(x) D M A I C C&E 1st level Root Capital Score Proposed Changes Resources Category Category Cause required Determine preferable ZP Method Recipe Zone Pressures 38 adjustments to minimize CMP CMP PE / R2R NO non-uniformity Analyze process capability Machine Tool capability Head type 36 CMP PE NO between Gen I and Gen II tools Evaluate usage of Nova in-situ In-situ Nova / CMP PE / Meaurement Nova 36 film thickness measurment tools YES Measurements CMP EE on Ebara FREX 200 toolsets Develop methodology to IT / Eb Ebara / CMP automatically adjust tool para- Method Automation Run-2-Run 33 PE / CMP EE / YES meters to minimize CMP non- R2R uniformity Evaluate X-Y grooved p used g pad Material M t i l Pad P d Grooves G 32 CMP PE NO at QR3
  • 17. Radial Profiles: 12-pt. vs. 85-pt. D M A I C Post Oxide - Radial Profiles 3300 Local 3200 Underpolish 3100 3000 Post Oxide thickness [A] 2900 Center Airbag Ripple Airbag Outer Airbag Edge Airbag Both defects 2800 “Yield Killers” “Yi ld Kill ” 2700 2600 2500 2400 85-pt. 12-pt. thickness measurement are used Local 12-pt. p in production for capacity reasons reasons. Overpolish 2300 0 5 10 15 20 25 30 35 40 45 50 55 60 65 70 75 80 85 90 95 100 Radius [mm]
  • 18. Tool capability Improvement: Head style D M A I C Oneway Analysis of WIW OXRG By Head 900 Observation: 800 Gen I head (CMP 22) has in average a 70A (CMP_22) 700 higher range than Gen II head (CMP_23/26) WIW OXRG 600 500 Conclusion: Two options for improvement: W 400 1. Use only Gen II tools and use Gen I only 300 as backup only when WIP is high 200 (tool dedication per dispatch software GEN I GEN II Each Pair and per SOP). Student's t 0.05 2. Upgrade CMP_22 to Gen II head Head capability (approx $140K). Missing Rows 9 (To be implemented after capital Quantiles freeze lifted). Level Minimum 10% 25% Median 75% 90% Maximum GEN I 256.492 332.5238 383.1553 435.597 488.5748 589.5398 845.6733 Improvements: GEN II 195.194 265.6953 306.2185 366.73 433.315 539.445 921.5 From: 454A Means and Std Deviations To: 386A Level Number Mean Std Dev Std Err Mean Lower 95% Upper 95% Δ: 68A GEN I 200 454.292 117.877 8.3352 437.86 470.73 GEN II 304 385.894 119.490 6.8532 372.41 399.38
  • 19. Analysis Phase Summary & LSS Tools used D M A I C Summary: Tools/methodologies used: - Identified TOP5 critical inputs (X) - ID critical X’s Xs and performed root cause analysis - Root Cause Analysis (RCA) to identify input factors (x) - DOE Planning - A l i was b i f DOE Analysis basis for DOEs - Data Collection - Performed 85-pt. measurement to - Graphical Analysis identify the “true” profile of Oxide - ANOVA - Oxide Range driven by zone 4 only - Hypothesis Testing - Compared CMP tools with old GEN I head to ones with new GEN II head - Quick Improvements => GEN II has lower OxRg - Implemented to use GEN I tools as “back-up only” (EASY WIN)
  • 20. New pad type DOE: Experimental Plan D M A I C Practical Problem Statement: Factors & Levels of interest: - The current 12 pt WIW range is 375A 12-pt. - Pad (POR, XY groove) (POR XY-groove) (4-week average) and therefore above our - Slurry flow (100 ml/min, 250 ml/min) project target of 320A (primary effect) - Table Speed (50 rpm, 120 rpm) - The current 13-pt. WIW range of larger than p g g - C i S Carrier Speed (50 rpm, 120 rpm) d ) 900A is also not acceptable (secondary effect) Experimental Objective Statement: Experiment & Sample Size - The objective of this experiment/DOE is to find - 2K Factorial Design a new process (consumable and process - 4 factors settings) to achieve results comparable to the g ) p - N center points, bl ki or replicas No t i t blocking li QR3 80nm Ebara process: - 16 runs - 12-pt. WIW range < 320A - Run DOE on CMP_23CM (Gen II head) - 13-pt. WIW range < 600A
  • 21. New pad type: DOE Optimizer & Tolerance D M A I C The sweet spot is at: - TS: 50rpm - CS: 51rpm (1rpm offset to TS required) - SF: 100ml/min Results: WIW range of 285A Settings below cause defectivity, endpoint issues & slow p p process speeds p 10% deviation from those values still allows to achieve target of 320A
  • 22. New pad type: Process Optimization D M A I C Estimated Effects and Coefficients for EP (coded units) Term Effect Coef SE Coef T P Estimated Coefficients for Oxrg12 using data in uncoded units Constant 118.5 1.8 65.5 0.000 Pad 13.7 6.8 1.8 3.7 0.005 Term Coef TS -42.2 -21.1 1.8 -11.5 0.000 Constant -141 CS -16.6 -8.3 1.8 -4.5 0.001 Pad -120 SF 11.3 5.7 1.8 3.1 0.012 TS 1.6 CS 4.5 45 SF 2.7 R-Sq = 95.52% Pad*TS 1.8 CS*SF -0.02 Conclusions: All main factors are significant. Formula: Two 2-way interactions are significant: Pad*TS & CS*SF OxRg(12) = -141 - 120*Pad + 1.6*TS + 4.5*CS + The optimum process settings are: 2.7*SF + 1.8*Pad*TS - 0.02*CS*SF XY-grooved pad Table Speed: 50rpm with (POR = -1, XY = +1) Carrier Speed: 51rpm Slurry Flow: 100ml/min Slurry flow below 100ml/min causes microscratches. y Physical meaning: The O id Th Oxide range decreases with use of XY pad and d ith f d d Per CMP experienced TS and CS are matched with 1rpm decreasing slurry flow, carrier speed and table speed until off-set. the process speed is to slow to be manufacturable.
  • 23. New pad type split lots: Radial Profiles and Range D M A I C Radial Profiles: OLD vs. NEW Oneway Analysis of Range12 By Split1 3300 1100 CAP RAP OAP 1000 3200 900 800 3100 Range12 700 3000 600 500 2900 400 PostOx [A] 300 2800 200 POR XY 2700 Split1 Quantiles 2600 Level Minimum 10% 25% Median 75% 90% Maximum POR 315.43 366.895 429.2275 501.785 709.0125 824.48 1005.18 2500 OLD OLD: NEW: XY 214.08 283.012 321.0725 387.845 412.9775 488.67 613.05 12-pt. range: 533A 12-pt. range: 385A NEW max. range: 794A max. range: 504A Means and Std Deviations 2400 Level Number Mean Std Dev Std Err Mean Lower 95% Upper 95% EAP POR 94 563.400 174.446 17.993 527.67 599.13 2300 XY 52 378.785 79.771 11.062 356.58 400.99 0 5 10 15 20 25 30 35 40 45 50 55 60 65 70 75 80 85 90 95 100 Radius [mm] Improvements: Difference in 12-pt. WIW range to DOE (378A vs. 285A) is due to fact, that split lots had to be run on MP_22CM (Gen I head) as other tools were down or used for production. Also zone From: 563A pressures were not optimized on that tool (CMP 22CM) (CMP_22CM). To: 378A The main improvement of the new process is the improved profile shape. The “hump” in the Δ: 185A edge zone is gone, which allows to adjust the EP without either a) overpolish the outer edge (AA completely erased) or b) underpolish the inner edge (Nitride residual) – both killer defects.
  • 24. New pad type overpolish: Process Window experiment D M A I C Delta Nitride target This process window experiment proves that the Post Oxide thick- ness and Nitride thickness (Delta Nitride target of 40A) correlate very well with the overpolish time (PPID step 10). t 10) The new process has a lower Oxide and Nitride removal rate, so the OP polish time has to be adjusted (from 10 sec. with POR to 40 sec. with new process)
  • 25. Zone Pressure adjustments: Semi-automatic ZP calculator D M A I C Oneway Analysis of WIW_range_ 12 By Split 1500 1300 Ran three factorial DoE for zone pressures: WI W_range_1 2 1100 •CAP OAP EAP were varied CAP, OAP, i d 900 700 •RAP stayed constant as reference 500 300 Automation group created a model which 100 NEW OL D took into account the zone pressures and Split thickness variation between the zones. Missin g Rows 1 The model was automated and new ZP Quantiles Lev el Minimum 10% 25% Median 75% 90% Maximu m values were calculated every hour. NEW 152.2 275 265.8 08 308.2 875 370.5525 443.6 9 543.5 888 1540.73 OLD 241.3 5 381.73 440.571 517.9033 686.774 833.2 88 1205 .733 Process technicians performed ZP Means and Std Deviations adjustments manually at the start of a every Lev el Number Mean Std Dev Std Err Mean Lower 95% Upper 95% NEW 1443 397.750 142.7 19 3.757 1 390.38 405.12 lot. OLD 349 567.634 175.0 06 9.367 9 549.21 586.06 Improvements: Remark: Next steps to repeat the DoE with the new From: 568A This was performed with the improved process and fully automate the To: 398A old pad process. Results don’t methodology once the capital freeze is lifted. Δ: 170A include new XY pad process.
  • 26. “Just Do It” Improvements D M A I C 1) ZP calculator through JMP (reduce Oxide range) - Same calculator as semi-automatic web based one - Can’t be used by technicians in Fab (only for Engineering use) - Temporary solution, now back-up for Web calculator 2) New ramp process (eliminate KV rings – Litho holds) - Process matches QR3 process (benchmark) and should minimize KV rings - Showed slight uniformity improvements - Currently running pilot 3) New wafer maps (standardize measurements points between all parts) - Develop similar maps for all products - Points evenly spaced between all 4 zones (center, ripple, outer, edge) - Only one point at 95mm radius - Affects mainly CD80 products
  • 27. Process Mapping: Detailed AA CMP process flow D M A I C
  • 28. Improvement plan: Summary and “go forward” D M A I C Added following improve- ments (easy fixes): - Improved head rebuild (start date pulled in – see Control Phase) - Ertalyre retaining ring (experiment failed)
  • 29. Improve Phase Summary & LSS Tools used D M A I C Summary: Tools/methodologies used: - Ran several DOE’s to DOE s - Screening DOE - Determine best pad type - Quantifying DOE - Optimize polish parameters - Optimizing DOE - Analyze zone pressures - Verify critical Xs - New pad type and polish parameters - Regression Analysis Y=F(X)=f(x) improved 12 pt OxRg by 33% 12-pt. - Automation - Created model for influence of zone - Pilot Trials pressures on uniformity - Process Flow Diagram - Used model to created a semi- - Standardization automated calculator to update zone p pressures on a regular basis g - Remapped Process Flow (added steps for ZP adjustments)
  • 30. Capability analysis: Before and after D M A I C May-08 data Sep-08 data Process Capability of OXRG P7RSLTX Process Capability of Range USL USL P rocess D ata Within P rocess D ata Within LS L * Overall LS L * Overall Target * Target * USL 320 P otential (Within) C apability USL 320 P otential (Within) C apability S ample M ean 585.894 Z.Bench -2.45 S ample M ean 356.58 Z.Bench -0.44 S ample N p 305 Z.LS L * S ample N p 130 Z.LS L * S tDev (Within) 108.412 Z.U S L -2.45 S tDev (Within) 83.6199 Z.U S L -0.44 S tDev (O v erall) 174.87 C pk -0.82 S tDev (O v erall) 110.688 C pk -0.15 O v erall C apability O v erall C apability Z.Bench -1.52 Z.Bench -0.33 Z.LS L * Z.LS L * Z.U S L -1.52 Z.U S L -0.33 P pk -0.51 P pk -0.11 C pm * C pm * 300 450 600 750 900 1050 1200 100 200 300 400 500 600 700 O bserv ed P erformance E xp. Within P erformance E xp. O v erall P erformance O bserv ed P erformance E xp. Within P erformance E xp. O v erall P erformance % < LS L * % < LS L * % < LS L * % < LS L * % < LS L * % < LS L * % > U S L 98.03 % > U S L 99.29 % > U S L 93.58 % > U S L 51.54 % > U S L 66.91 % > U S L 62.95 % Total 98.03 % Total 99.29 % Total 93.58 % Total 51.54 % Total 66.91 % Total 62.95 % achieving goal: % achieving goal: < 2% > 48% (and improving) Remark: 320A is the goal for mean value and not the Upper Spec Limit. As there is no spec limit existing we used the goal for the capability calculation.
  • 31. Primary Metric: Film Thickness Improvement D M A I C Start of Control Phase 900 Combined effect of: C ff f - New XY process 800 - Gen II head preference - ZP calculator 700 _range_12 2 -IImproved h d rebuild d head b ild - “Just Do It” improvements 600 500 WIW_ 400 GOAL: 320A 300 Two weeks at goal: Average WIW range: 317A 200 100 8-32 8-33 8-34 8-35 8-36 8-38 8-39 8-40 8-41 8-42 8-43 8-44 8-45 8-46 8-47 8-48 8-49 8-50 8-51 8-52 8-37 8-53 FW08 FW08 FW08 FW08 FW08 FW08 FW08 FW08 FW08 FW08 FW08 FW08 FW08 FW08 FW08 FW08 FW08 FW08 FW08 FW08 FW08 FW08 FW
  • 32. Control Plan D M A I C
  • 33. Transition Plan D M A I C
  • 34. Control Phase Summary & LSS Tools used D M A I C Summary: Tools/methodologies used: - Capability improved from 2% (May (May- - Control Plan 08) to > 48% (Sep-8) - Poka-Yoke (320A is a goal not a spec limit) - SPM - R Reached goal (O R < 320A) i h d l (OxRg in - SPC FW52/53 (w/o NOVA or Run-2-Run control) - OCAP - Added head rebuild improvements - Transition Plan - Created the following documents: - Final Report - Control Plan (see Appendix) - Transition Plan (see Appendix) - Final Report p - Closed Project
  • 35. Project Summary: Big wins D M A I C • Achieved goal of AA Post Oxide within Wafer Range of 320A or less • Yi ld i Yield improvement of 2.3% t f 2 3% • Annual financial return of $ 4.1M • Improved performance to target from less than 2% to greater than 48% • Model that helps to adjust zone air pressure on a continuous basis • Optimized process with a favorable thickness profile • Methodology to select tools with better capability automatically • Better understanding of pad type and process conditions •M More accurate data collection f wafer profile t d t ll ti for f fil • Short project time of less than 5 months (means less downtime for CMP production) • Future NOVA implementation will drastically reduce AA CMP CT p y • Future Run-2-Run control will allow CMP technicians to focus on Mfg issues
  • 36. Next Steps: Future Improvement D M A I C 1) Upgrade Generation I toolset to Generation II head type 2) Fully qualify the new XY pad process (incl. new ramp) 3) Perform Zone Pressure DOE with new pad type and create semi-automatic Zone Pressure web calculator for new process (add to CMP Intra net) Intra-net) 4) Fully automate Zone Pressure control (Run-2-Run control) • Total “hands-off” operation for CMP Mfg and CMP technicians 5) Install and set-up Nova in-situ film thickness measurement system • Faster feed back if uniformity is OOC • Minimize Mi i i number of l t / f b f lots/wafers exposed t OOC condition d to diti (automatic adjustments while lots is running) • Decrease cycle time by “eliminating” pre and post film thickness measurements in a separate step
  • 37. AA TEOS CMP Before, After & Future D M A I C
  • 38. Any uestions?
  • 39. Thank Th k you The World’s Leading Creative Memory Company
  • 40. APPENDIX (tool summary & signatures, glossary)
  • 47. Glossary 6S Six Sigma A Angstrom (10‐10m) AA Active Area ANOVA Analysis of Variance ASP g g Average Selling Price BB Black Belt BMG Breakthrough Management Group (BMGI ‐ Copyright BMGI. All rights reserved C&E Cause & Effect CAP Center Air Pressure CMP Chemical Mechanical Polishing Chemical Mechanical Polishing CMP_XX CMP tools XX CoO Cost of Ownership Cpk Process Capability Process Capability CS Carrier Speed CT Cycle time
  • 48. Glossary DMAIC Define, Measure, Analyze, Improve, Control DOE Design of Experiment EAP Edge Air Pressure EBIT Earnings Before Interest and Tax(es) EE Equipment Engineering Equipment Engineering EP Endpoint Fab Chip plant FILMS Thin Film Deposition GB Green Belt Gen I / Gen II G I / G II Generation I / II G ti I / II L6S Lean Six Sigma (same as LSS) LSL Lower Spec Limit LSS Lean Six Sigma
  • 49. Glossary MBB Master Black Belt Mfg Manufacturing MTY Metrology OAP Outer Air Pressure OCAP Out of Control Action Plan Out of Control Action Plan OOC Out of Control OP Overpolish OxRg Oxide Range Oxide Range OxRg(12) 12 point Oxide Range (same as OxRg) OxRgMean Mean Oxide Range (same as OxRg) PCP Polishing Chamber Pressure PE Process Engineering PI Process Integration POR Process of Record
  • 50. Glossary QNA Qimonda North America QR2 Qimonda Richmond 200mm Plant QR3 Qimonda Richmond 300mm Plant R2R Run‐2‐Run RAP Ripple Air Pressure Ripple Air Pressure RCA Root Cause Analysis ROCE Return On Capital Employed ROI Return of Investment Return of Investment RTD Real Time Dispatch SF Slurry Flow SOP Standard Operating Procedure SPC Statistical Process Control SPM Statistical Process Monitoring StDev Standard Deviation
  • 51. Glossary T80 Technology 80nm TEOS Tetraethylorthosilicate TS Table Speed USL Upper Spec Limit VOC Voice of Customer WETS Wet Clean and Etch WIW (range) WIW (range) Within Wafer (range) Within Wafer (range) (same as OxRg) (same as OxRg) WSPW Wafer Starts Per Week YB Yellow Belt YBS3 Final Wafer Yield ZP Zone Pressure