SlideShare a Scribd company logo
1 of 7
Download to read offline
International Journal of Engineering Research and Development
e-ISSN: 2278-067X, p-ISSN: 2278-800X, www.ijerd.com
Volume 10, Issue 6 (June 2014), PP.77-83
77
Hardware Sharing Design for Programmable
Memory Built-In Self Test
1
K. Abdul Munaf, 2
K.Venkata Siva Reddy, 3
M.V.Ramana Reddy
1
Assistant Professor, Department of ECE, RCEW, Kurnool, Andhrapradesh, India
2
Assistant Professor, Department of ECE, RCEW, Kurnool, Andhrapradesh, India
3
Assistant Professor, Department of ECE, RCEW, Kurnool, Andhrapradesh, India
Abstract:- As the progress of deep submicron technology, embedded memory grows greatly in the System-on-
Chip design. An efficient test method with relatively low cost is required for mass production process.
Programmable Built-In Self-Test (P-MBIST) solution provides a certain degree of flexibility with reasonable
hardware cost, based on the customized controller/processor. In this work, we propose a hardware sharing
architecture for P-MBIST design. Through sharing the common address generator and controller, the area
overhead of P-MBIST circuit can be significantly reduced. Higher testing speed can be achieved by inserting
two pipeline stages. Finally, the proposed P-MBIST circuit can be automatically generated from the user-
defined configuration file.
Keywords:- Memory, Instructions, Controller, Pipelining, P-MBIST, etc.,
I. INTRODUCTION
With increasing design complexity in modern SOC design, many memory instances with different
sizes and types would be included. To test all of the memory with relatively low cost becomes an important
issue. Providing user-defined pattern for screening out various manufacturing defects is also a major demand.
To ease the tradeoff between the hardware cost and test flexibility, Programmable Built-In Self-Test (P-MBIST)
method is an opening approach to complete the memory testing under these circumstances.
Many researchers have been focused on P-MBIST design [1-5]. Processor-based architecture provides
high test flexibility, but it increases the test development costs while applying to various processor family [1-2].
To lower the design cost, a customized processor and instruction have been developed [3]. It uses program
memory to store the test program. To further reduce the hardware cost, the instruction can be serially input and
saved in one internal register by adopting simple controller [4-5]. However, the issue for multi-instance
testing in parallel is not discussed [6].
In this work, we propose a hardware sharing architecture to test the memory with same type in
parallelism. The proposed method uses only one address counter to generate the required address for March-
based algorithm, including row scan and column scan. The controller can be applied to different memory types
with the same read/write cycle. Higher testing speed can be achieved by inserting two pipeline stages. Finally, the
proposed P-MBIST engine can be automatically generated from the user-defined configuration file. The paper is
organized as follows. Section 2 gives a brief concept of the March-based algorithm. In Section 3, the proposed
architecture and the detailed component design will be addressed. The pipeline design issue is also discussed.
Section 4 describes the automation flow of the overall P-MBIST engine. The implementation result and the
relative comparison are presented in Section 5. Finally, a brief summary is given in Section 6.
II. SUPPORTED TESTING ALGORITHMS
Many efficient testing algorithms have been proposed to detect different fault models [7-8]. However,
to implement various testing algorithms in the same P-BIST design would require high area cost. Thus, the
selection of test algorithm families should be carefully considered. March-based algorithm is an important class to
detect a large variety of faults [8]. A March test consists of a finite sequence of March elements. Each element
performs a series of "reading" and "writing" operations to all memory cells. The addressing order of each
element is executed in ascending (), descending (), or either () way. As a test example described in Fig. 1, it
contains three March elements. The first element performs writing 0 to each memory cell in either addressing
order. The second element performs two operations to each address in ascending order. Finally, the third element
reads all the address in descending order. The complexity order is noted to 4N, where N is the number of memory
addresses.
Hardware Sharing Design for Programmable Memory Built-In Self Test
78
Beside, based on the March-based test sequence, the dynamic faults can be tested by repeatly
performing the same operation in the same memory cell [9].To efficiently implement March-based
algorithm, we define the corresponding instruction for P-MBIST architecture. The advantage is that one can
program the instruction to modify the testing algorithm during run time. In our shared architecture, only one
address generator is required for testing all memory. Also, different memory types with the same read/write
cycle can share the same controller. In the following section, we will discuss the overall architecture and its
detailed component design. The pipeline design issue will be also addressed.
III. PROPOSED PMBIST ARCHITECTURE
To support flexible March elements and diagnostic procedures, the proposed P-MBIST provides the
following features :( 1)different data background,(2) programmable March-based element,(3) column-scan
addressing mode,(4) capturing the address, syndrome and state of failures,(5) parallel and sequential test, (6)pipeline
mode for higher test speed. TABLE I lists the detailed programmable items of instructions. The folded and inverted
bits can invert the data_bg while the switching of the specified address bit. By setting the data_bg to 0 and
configuring both folded and inverted bits to 1, the checkerboard pattern can be generated. Column-scan addressing
can be controlled by cs_sel. Finally each March operation description uses three bits, EOC, R/W, and polarity, to
define the operation. Fig 2 gives an instruction example of performing three operations in a March element. By
setting up the diagnosis bit to 1, the failure information will be dumped out while detecting, them is matching
memory output.
Hardware Sharing Design for Programmable Memory Built-In Self Test
79
Fig 3 shows the block diagram of the proposed P-MBIST design, firstly the instruction is serially shifted
into instruction_read module. To reduce the hardware costs, the address counter is shared to test all memory
instances. Moreover the decoder block can support different memory type testing without increasing any state (i. e
each memory has the same read/write cycle). The memory in the same type can be grouped and tested in either
sequential or parallel way. The supported memory types include single/dual two-port SRAM, one/two-port register
file and ROM. Finally according to the user-define configuration file the proposed P-MBIST engine can be
automatically generated from the developed software program.
A. Address Generator
To share the common address generator, it is a simple way to choose an address counter with the largest
address bit among all the under-tested memory instances. As shown in Fig. 4, the low-bit of address counter can
be used to indicate the low-address memory instance, by properly controlling the chip-select signal for each
memory instance.
As for the column-scan mode, the address counter is not just ascending by 1. TABLE II provides an
example, which defines the column Mux to 4 and the maximum address to 11. In TABLE II, the 1st
column shows
the address counter output for row scan (i.e. ascending by 1). The 2nd
column gives the address for column scan
(i.e. ascending by 4). To share the row-scan and column-scan adder, we use the up-scramble to adjust the added bit
for column-scan mode. As shown in the 3rd
column, the low bit of A[1:0] is shifted to the position of most
significant bit, which means the row adder can directly apply to the A[2] bit. Similarly, after the process of down-
scrambler, the column-scan address for ascending by 4 can be generated. By adding two scramble blocks, the row
adder can support the column addressing. Fig. 5 shows the block diagram of address generator for row-scan and
column-scan modes.
Hardware Sharing Design for Programmable Memory Built-In Self Test
80
To continuously perform the operation to each address in column-scan way, it encounters two special
cases due to overflow of address-up/down counting. TABLE III lists an example for 8-bit addressing, where the
column Mux is 4 and the maximal address is 199. In the up-counting case, if the column-scan addressing exceeds
the maximum address, the word-line address is reset to 0 and the bit-line address is switched to the next column
cell. For the down-counting case, the maximum word-line address should be st to hi-bit part to deal with the
overflow case. The overall block diagram of address generator is shown in Fig. 6. The path of column scan and
row scan are highlighted. Finally , by the control signals, fail_h and EOC, address counter is held while dumping
failure data (i.e. fail_h) and executed continuous operations in the same March element (i.e. EOC). A built-in look-
up table is required to store the maximal address and column MUX number of all under-tested memories.
Hardware Sharing Design for Programmable Memory Built-In Self Test
81
B. Timing diagram and controller design
Fig. 7 shows the general input and output timing diagram. Firstly, users should define the testing group
(i.e. gp_sel) and specify the parallel or sequential test (i.e. ps_sel) for the chosen group. Then, the instruction is
serially input from the signal, scan_in, while ins_se is enabled. After executing the current instruction, tst_done
will go high and the next instruction can be read and so on.
Fig. 8 shows a simplified controller supporting 3 continuous March operations. Firstly, the external
signal, rst_1, triggers the state and gets into wait state. Once the complete instruction has been input, it will go to
March1 state. If the diagnosis mode is turned-on and the fault address is detected, the state will be held until all the
failure information has been dumped out. Otherwise, there is no stall cycle while performing each March element.
C. Pipeline design issue
For higher testing speed, address generator is a critical path because of the long delay of adder and
comparator. To enhance the operating frequency, two pipeline stages are inserted to the address generator. The
first stage is used to put to the bus of “inc_A” and the second stage is placed to the “Over_flow_CS” signal (i.e.
refer to the potential pipeline stage in fir. 6). Fig. 9 illustrates the timing relation between pipelining address
generator and two inserted pipeline states, pipe_state1 and pipe_state2. First, the register, inc_A_reg, is determined
after one delay cycle of addr_reg. Afterward, Over_flow_CS_reg can be determined and the addr_reg can be
further determined. Based on this design method, the operating frequency could achieve high testing-speed case
for typical memory application (i.e. 0.13micro meter with 14-bit adder at 500MHz).
Hardware Sharing Design for Programmable Memory Built-In Self Test
82
IV. AUTOMATION FLOW OF P-MBIST
For the automation flow, we use Tool Command Language (TCL) to develop a software program, which
can generate the P-BIST design, according to the user-defined configuration file. As shown in TABLE IV, one can
define the numbers of groups, numbers of March elements, pipeline mode, and numbers of memory instances in
each group. The detailed memory information should be also provided. As described in TABLE V, it gives the
detailed information of the first instance in group TP0. Based on this configuration file, 2-bit address counter and
8-bit data_bg are generated. Three March operations can be programmed. The address counter and controller can
be shared to different groups, to reduce the hardware overhead.
V. COMPARISONS
V. COMPARISIONS
To evaluate the proposed P-MBIST architecture, we compare the proposed P-MBIST with Mentor’s
benchmark under the same condition. TABLE VI describes the cell area condition; it uses two individual
controllers to support parallel and sequential testing. Moreover, the MBIST cannot support different memory types
by using the same controller. Thus, it requires individual BIST circuit for each memory type.
Hardware Sharing Design for Programmable Memory Built-In Self Test
83
Compared with the proposed architecture, we use the same address counter for all memory instances and
share the controller for each group. As shown in TABLE VII, the proposed P-MBIST circuit uses 1582 gates for
testing two single-port instances. While extending to three groups with different memory types, it requires
additional 374 gates. Furthermore, to support ROM testing with Multi Input Shift Register (MISR) algorithm, it
increases 403 gate counts. By applying the proposed hardware sharing method, it can significantly reduce the
hardware cost.
VI. CONCLUSIONS
In this work, we propose an efficient architecture for P-MBIST circuit. Based on the proposed hardware
sharing method, we use the common address counter to provide all memory instances, including row and column
scan addressing mode. Moreover, the controller can be extended to different memory types in the same read/write
cycle condition, without increasing any state. Thus, the hardware cost can be greatly reduced. Finally the proposed
P-MBIST circuit can be generated from the user defined configuration file.
REFERENCES
[1]. S.Boutabza, .M. Nicholaidis K.M. Lamara and A. Costa, "Programmable memory BIST," in Proc.
ITC, pp: 45.2, Nov 2005.
[2]. I. Bayraktaroglu , 0. Caty and W. Yickkei, "Highly configurable programmable built-in self test
architecture for high-speed memories”inproc. VLSI Test Symposium. pp:21
[3]. D. Xiaogang. N. Mukherjee. C Wu-Tung S.M. Reddy. 'Full-speed field-programmable memory BIST
architecture in Proc. ITC pp: 45.3. Nov. 2005.
[4]. D. Appello, V. Tancorre, P. Bernadi, M.Grosso, M. Rebaudengo and M.S. Reads, 'Embedded Memory
Diagnosis: An Industrial Workflow: in Proc. ITC, pp: l -9, Oct. 2006.
[5]. S. Boutabza, .M. Nicholaidis K.M. Lamara and A. Costa, "A Transparent based Programmable Memory
BIST in Proc. IEEE European Test Symposium. pp.89-96.May2006.
[6]. M. Miyazaki. T. Yoneda and H. Fujiwam. "A memory grouping method for sharing memory BIST logic,' in
Proc. Asia and South Pacific Design Automation Conference. pp. 671-676. Jan. 2006.
[7]. Basic VLSI Design by Douglas A. Pucknell, Kamran Eshraghian, Prentice Hall, 1994
[8]. http://www.mentor.com

More Related Content

What's hot

Types of Instruction Format
Types of Instruction FormatTypes of Instruction Format
Types of Instruction FormatDhrumil Panchal
 
Evaluation of Branch Predictors
Evaluation of Branch PredictorsEvaluation of Branch Predictors
Evaluation of Branch PredictorsBharat Biyani
 
Cache Design for an Alpha Microprocessor
Cache Design for an Alpha MicroprocessorCache Design for an Alpha Microprocessor
Cache Design for an Alpha MicroprocessorBharat Biyani
 
Addressing mode of 80286 microprocessor
Addressing mode of 80286 microprocessorAddressing mode of 80286 microprocessor
Addressing mode of 80286 microprocessorpal bhumit
 
DUAL FIELD DUAL CORE SECURE CRYPTOPROCESSOR ON FPGA PLATFORM
DUAL FIELD DUAL CORE SECURE CRYPTOPROCESSOR ON FPGA PLATFORMDUAL FIELD DUAL CORE SECURE CRYPTOPROCESSOR ON FPGA PLATFORM
DUAL FIELD DUAL CORE SECURE CRYPTOPROCESSOR ON FPGA PLATFORMVLSICS Design
 
Datapath Design of Computer Architecture
Datapath Design of Computer ArchitectureDatapath Design of Computer Architecture
Datapath Design of Computer ArchitectureAbu Zaman
 
VTU 4TH SEM CSE MICROPROCESSORS SOLVED PAPERS OF JUNE-2014 & JUNE-2015
VTU 4TH SEM CSE MICROPROCESSORS SOLVED PAPERS OF JUNE-2014 & JUNE-2015VTU 4TH SEM CSE MICROPROCESSORS SOLVED PAPERS OF JUNE-2014 & JUNE-2015
VTU 4TH SEM CSE MICROPROCESSORS SOLVED PAPERS OF JUNE-2014 & JUNE-2015vtunotesbysree
 
A Novel VLSI Architecture for FFT Utilizing Proposed 4:2 & 7:2 Compressor
A Novel VLSI Architecture for FFT Utilizing Proposed 4:2 & 7:2 CompressorA Novel VLSI Architecture for FFT Utilizing Proposed 4:2 & 7:2 Compressor
A Novel VLSI Architecture for FFT Utilizing Proposed 4:2 & 7:2 CompressorIJERD Editor
 
Addressing modes
Addressing modesAddressing modes
Addressing modesAJAL A J
 
Register reference
Register referenceRegister reference
Register referenceNitesh Singh
 
Advanced computer architect lesson 3 and 4
Advanced computer architect lesson 3 and 4Advanced computer architect lesson 3 and 4
Advanced computer architect lesson 3 and 4Ismail Mukiibi
 
Network Layer Part 4
Network Layer Part 4Network Layer Part 4
Network Layer Part 4Tutun Juhana
 
A BINARY TO RESIDUE CONVERSION USING NEW PROPOSED NON-COPRIME MODULI SET
A BINARY TO RESIDUE CONVERSION USING NEW PROPOSED NON-COPRIME MODULI SETA BINARY TO RESIDUE CONVERSION USING NEW PROPOSED NON-COPRIME MODULI SET
A BINARY TO RESIDUE CONVERSION USING NEW PROPOSED NON-COPRIME MODULI SETcsandit
 
bus and memory tranfer (computer organaization)
bus and memory tranfer (computer organaization)bus and memory tranfer (computer organaization)
bus and memory tranfer (computer organaization)Siddhi Viradiya
 

What's hot (19)

Types of Instruction Format
Types of Instruction FormatTypes of Instruction Format
Types of Instruction Format
 
Evaluation of Branch Predictors
Evaluation of Branch PredictorsEvaluation of Branch Predictors
Evaluation of Branch Predictors
 
Cache Design for an Alpha Microprocessor
Cache Design for an Alpha MicroprocessorCache Design for an Alpha Microprocessor
Cache Design for an Alpha Microprocessor
 
Addressing mode of 80286 microprocessor
Addressing mode of 80286 microprocessorAddressing mode of 80286 microprocessor
Addressing mode of 80286 microprocessor
 
DUAL FIELD DUAL CORE SECURE CRYPTOPROCESSOR ON FPGA PLATFORM
DUAL FIELD DUAL CORE SECURE CRYPTOPROCESSOR ON FPGA PLATFORMDUAL FIELD DUAL CORE SECURE CRYPTOPROCESSOR ON FPGA PLATFORM
DUAL FIELD DUAL CORE SECURE CRYPTOPROCESSOR ON FPGA PLATFORM
 
addressing modes
addressing modesaddressing modes
addressing modes
 
Datapath Design of Computer Architecture
Datapath Design of Computer ArchitectureDatapath Design of Computer Architecture
Datapath Design of Computer Architecture
 
VTU 4TH SEM CSE MICROPROCESSORS SOLVED PAPERS OF JUNE-2014 & JUNE-2015
VTU 4TH SEM CSE MICROPROCESSORS SOLVED PAPERS OF JUNE-2014 & JUNE-2015VTU 4TH SEM CSE MICROPROCESSORS SOLVED PAPERS OF JUNE-2014 & JUNE-2015
VTU 4TH SEM CSE MICROPROCESSORS SOLVED PAPERS OF JUNE-2014 & JUNE-2015
 
A Novel VLSI Architecture for FFT Utilizing Proposed 4:2 & 7:2 Compressor
A Novel VLSI Architecture for FFT Utilizing Proposed 4:2 & 7:2 CompressorA Novel VLSI Architecture for FFT Utilizing Proposed 4:2 & 7:2 Compressor
A Novel VLSI Architecture for FFT Utilizing Proposed 4:2 & 7:2 Compressor
 
Protected mode memory addressing 8086
Protected mode memory addressing 8086Protected mode memory addressing 8086
Protected mode memory addressing 8086
 
Addressing modes ppt
Addressing modes pptAddressing modes ppt
Addressing modes ppt
 
Addressing modes
Addressing modesAddressing modes
Addressing modes
 
Register reference
Register referenceRegister reference
Register reference
 
Chapter3.1 3-mikroprocessor
Chapter3.1 3-mikroprocessorChapter3.1 3-mikroprocessor
Chapter3.1 3-mikroprocessor
 
Advanced computer architect lesson 3 and 4
Advanced computer architect lesson 3 and 4Advanced computer architect lesson 3 and 4
Advanced computer architect lesson 3 and 4
 
Paper7 2
Paper7 2Paper7 2
Paper7 2
 
Network Layer Part 4
Network Layer Part 4Network Layer Part 4
Network Layer Part 4
 
A BINARY TO RESIDUE CONVERSION USING NEW PROPOSED NON-COPRIME MODULI SET
A BINARY TO RESIDUE CONVERSION USING NEW PROPOSED NON-COPRIME MODULI SETA BINARY TO RESIDUE CONVERSION USING NEW PROPOSED NON-COPRIME MODULI SET
A BINARY TO RESIDUE CONVERSION USING NEW PROPOSED NON-COPRIME MODULI SET
 
bus and memory tranfer (computer organaization)
bus and memory tranfer (computer organaization)bus and memory tranfer (computer organaization)
bus and memory tranfer (computer organaization)
 

Viewers also liked

International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentIJERD Editor
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentIJERD Editor
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentIJERD Editor
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentIJERD Editor
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentIJERD Editor
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentIJERD Editor
 

Viewers also liked (6)

International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and Development
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and Development
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and Development
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and Development
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and Development
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and Development
 

Similar to J1067783

Implementation of FSM-MBIST and Design of Hybrid MBIST for Memory cluster in ...
Implementation of FSM-MBIST and Design of Hybrid MBIST for Memory cluster in ...Implementation of FSM-MBIST and Design of Hybrid MBIST for Memory cluster in ...
Implementation of FSM-MBIST and Design of Hybrid MBIST for Memory cluster in ...Editor IJCATR
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentIJERD Editor
 
pdfslide.net_morris-mano-ppt.ppt
pdfslide.net_morris-mano-ppt.pptpdfslide.net_morris-mano-ppt.ppt
pdfslide.net_morris-mano-ppt.pptSaurabhPorwal14
 
Chapter 04 the processor
Chapter 04   the processorChapter 04   the processor
Chapter 04 the processorBảo Hoang
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...ijceronline
 
Design of a Novel Multiplier and Accumulator using Modified Booth Algorithm w...
Design of a Novel Multiplier and Accumulator using Modified Booth Algorithm w...Design of a Novel Multiplier and Accumulator using Modified Booth Algorithm w...
Design of a Novel Multiplier and Accumulator using Modified Booth Algorithm w...IRJET Journal
 
Comprehensive Performance Evaluation on Multiplication of Matrices using MPI
Comprehensive Performance Evaluation on Multiplication of Matrices using MPIComprehensive Performance Evaluation on Multiplication of Matrices using MPI
Comprehensive Performance Evaluation on Multiplication of Matrices using MPIijtsrd
 
Performance comparison of row per slave and rows set per slave method in pvm ...
Performance comparison of row per slave and rows set per slave method in pvm ...Performance comparison of row per slave and rows set per slave method in pvm ...
Performance comparison of row per slave and rows set per slave method in pvm ...eSAT Journals
 
Complex Test Pattern Generation for high speed fault diagnosis in FPGA based ...
Complex Test Pattern Generation for high speed fault diagnosis in FPGA based ...Complex Test Pattern Generation for high speed fault diagnosis in FPGA based ...
Complex Test Pattern Generation for high speed fault diagnosis in FPGA based ...IJMERJOURNAL
 
International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)ijceronline
 
Parallel Processing Technique for Time Efficient Matrix Multiplication
Parallel Processing Technique for Time Efficient Matrix MultiplicationParallel Processing Technique for Time Efficient Matrix Multiplication
Parallel Processing Technique for Time Efficient Matrix MultiplicationIJERA Editor
 
Performance comparison of row per slave and rows set
Performance comparison of row per slave and rows setPerformance comparison of row per slave and rows set
Performance comparison of row per slave and rows seteSAT Publishing House
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentIJERD Editor
 
MPSoC Platform Design and Simulation for Power %0A Performance Estimation
MPSoC Platform Design and  Simulation for Power %0A Performance EstimationMPSoC Platform Design and  Simulation for Power %0A Performance Estimation
MPSoC Platform Design and Simulation for Power %0A Performance EstimationZhengjie Lu
 
Dominant block guided optimal cache size estimation to maximize ipc of embedd...
Dominant block guided optimal cache size estimation to maximize ipc of embedd...Dominant block guided optimal cache size estimation to maximize ipc of embedd...
Dominant block guided optimal cache size estimation to maximize ipc of embedd...ijesajournal
 
Dominant block guided optimal cache size estimation to maximize ipc of embedd...
Dominant block guided optimal cache size estimation to maximize ipc of embedd...Dominant block guided optimal cache size estimation to maximize ipc of embedd...
Dominant block guided optimal cache size estimation to maximize ipc of embedd...ijesajournal
 
Addressing modes (detailed data path)
Addressing modes (detailed data path)Addressing modes (detailed data path)
Addressing modes (detailed data path)Mahesh Kumar Attri
 
Cache coherency controller for MESI protocol based on FPGA
Cache coherency controller for MESI protocol based on FPGA Cache coherency controller for MESI protocol based on FPGA
Cache coherency controller for MESI protocol based on FPGA IJECEIAES
 
Computer Organization & Architecture.ppt
Computer Organization & Architecture.pptComputer Organization & Architecture.ppt
Computer Organization & Architecture.pptGauravSharmaIAHAP
 

Similar to J1067783 (20)

Implementation of FSM-MBIST and Design of Hybrid MBIST for Memory cluster in ...
Implementation of FSM-MBIST and Design of Hybrid MBIST for Memory cluster in ...Implementation of FSM-MBIST and Design of Hybrid MBIST for Memory cluster in ...
Implementation of FSM-MBIST and Design of Hybrid MBIST for Memory cluster in ...
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and Development
 
pdfslide.net_morris-mano-ppt.ppt
pdfslide.net_morris-mano-ppt.pptpdfslide.net_morris-mano-ppt.ppt
pdfslide.net_morris-mano-ppt.ppt
 
Chapter 04 the processor
Chapter 04   the processorChapter 04   the processor
Chapter 04 the processor
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
 
Design of a Novel Multiplier and Accumulator using Modified Booth Algorithm w...
Design of a Novel Multiplier and Accumulator using Modified Booth Algorithm w...Design of a Novel Multiplier and Accumulator using Modified Booth Algorithm w...
Design of a Novel Multiplier and Accumulator using Modified Booth Algorithm w...
 
Comprehensive Performance Evaluation on Multiplication of Matrices using MPI
Comprehensive Performance Evaluation on Multiplication of Matrices using MPIComprehensive Performance Evaluation on Multiplication of Matrices using MPI
Comprehensive Performance Evaluation on Multiplication of Matrices using MPI
 
Performance comparison of row per slave and rows set per slave method in pvm ...
Performance comparison of row per slave and rows set per slave method in pvm ...Performance comparison of row per slave and rows set per slave method in pvm ...
Performance comparison of row per slave and rows set per slave method in pvm ...
 
Complex Test Pattern Generation for high speed fault diagnosis in FPGA based ...
Complex Test Pattern Generation for high speed fault diagnosis in FPGA based ...Complex Test Pattern Generation for high speed fault diagnosis in FPGA based ...
Complex Test Pattern Generation for high speed fault diagnosis in FPGA based ...
 
International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)
 
Parallel Processing Technique for Time Efficient Matrix Multiplication
Parallel Processing Technique for Time Efficient Matrix MultiplicationParallel Processing Technique for Time Efficient Matrix Multiplication
Parallel Processing Technique for Time Efficient Matrix Multiplication
 
Performance comparison of row per slave and rows set
Performance comparison of row per slave and rows setPerformance comparison of row per slave and rows set
Performance comparison of row per slave and rows set
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and Development
 
Automatic generation of user-defined test algorithm description file for mem...
Automatic generation of user-defined test algorithm description  file for mem...Automatic generation of user-defined test algorithm description  file for mem...
Automatic generation of user-defined test algorithm description file for mem...
 
MPSoC Platform Design and Simulation for Power %0A Performance Estimation
MPSoC Platform Design and  Simulation for Power %0A Performance EstimationMPSoC Platform Design and  Simulation for Power %0A Performance Estimation
MPSoC Platform Design and Simulation for Power %0A Performance Estimation
 
Dominant block guided optimal cache size estimation to maximize ipc of embedd...
Dominant block guided optimal cache size estimation to maximize ipc of embedd...Dominant block guided optimal cache size estimation to maximize ipc of embedd...
Dominant block guided optimal cache size estimation to maximize ipc of embedd...
 
Dominant block guided optimal cache size estimation to maximize ipc of embedd...
Dominant block guided optimal cache size estimation to maximize ipc of embedd...Dominant block guided optimal cache size estimation to maximize ipc of embedd...
Dominant block guided optimal cache size estimation to maximize ipc of embedd...
 
Addressing modes (detailed data path)
Addressing modes (detailed data path)Addressing modes (detailed data path)
Addressing modes (detailed data path)
 
Cache coherency controller for MESI protocol based on FPGA
Cache coherency controller for MESI protocol based on FPGA Cache coherency controller for MESI protocol based on FPGA
Cache coherency controller for MESI protocol based on FPGA
 
Computer Organization & Architecture.ppt
Computer Organization & Architecture.pptComputer Organization & Architecture.ppt
Computer Organization & Architecture.ppt
 

More from IJERD Editor

A Novel Method for Prevention of Bandwidth Distributed Denial of Service Attacks
A Novel Method for Prevention of Bandwidth Distributed Denial of Service AttacksA Novel Method for Prevention of Bandwidth Distributed Denial of Service Attacks
A Novel Method for Prevention of Bandwidth Distributed Denial of Service AttacksIJERD Editor
 
MEMS MICROPHONE INTERFACE
MEMS MICROPHONE INTERFACEMEMS MICROPHONE INTERFACE
MEMS MICROPHONE INTERFACEIJERD Editor
 
Influence of tensile behaviour of slab on the structural Behaviour of shear c...
Influence of tensile behaviour of slab on the structural Behaviour of shear c...Influence of tensile behaviour of slab on the structural Behaviour of shear c...
Influence of tensile behaviour of slab on the structural Behaviour of shear c...IJERD Editor
 
Gold prospecting using Remote Sensing ‘A case study of Sudan’
Gold prospecting using Remote Sensing ‘A case study of Sudan’Gold prospecting using Remote Sensing ‘A case study of Sudan’
Gold prospecting using Remote Sensing ‘A case study of Sudan’IJERD Editor
 
Reducing Corrosion Rate by Welding Design
Reducing Corrosion Rate by Welding DesignReducing Corrosion Rate by Welding Design
Reducing Corrosion Rate by Welding DesignIJERD Editor
 
Router 1X3 – RTL Design and Verification
Router 1X3 – RTL Design and VerificationRouter 1X3 – RTL Design and Verification
Router 1X3 – RTL Design and VerificationIJERD Editor
 
Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...
Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...
Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...IJERD Editor
 
Mitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVR
Mitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVRMitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVR
Mitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVRIJERD Editor
 
Study on the Fused Deposition Modelling In Additive Manufacturing
Study on the Fused Deposition Modelling In Additive ManufacturingStudy on the Fused Deposition Modelling In Additive Manufacturing
Study on the Fused Deposition Modelling In Additive ManufacturingIJERD Editor
 
Spyware triggering system by particular string value
Spyware triggering system by particular string valueSpyware triggering system by particular string value
Spyware triggering system by particular string valueIJERD Editor
 
A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...
A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...
A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...IJERD Editor
 
Secure Image Transmission for Cloud Storage System Using Hybrid Scheme
Secure Image Transmission for Cloud Storage System Using Hybrid SchemeSecure Image Transmission for Cloud Storage System Using Hybrid Scheme
Secure Image Transmission for Cloud Storage System Using Hybrid SchemeIJERD Editor
 
Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...
Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...
Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...IJERD Editor
 
Gesture Gaming on the World Wide Web Using an Ordinary Web Camera
Gesture Gaming on the World Wide Web Using an Ordinary Web CameraGesture Gaming on the World Wide Web Using an Ordinary Web Camera
Gesture Gaming on the World Wide Web Using an Ordinary Web CameraIJERD Editor
 
Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...
Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...
Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...IJERD Editor
 
Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...
Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...
Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...IJERD Editor
 
Moon-bounce: A Boon for VHF Dxing
Moon-bounce: A Boon for VHF DxingMoon-bounce: A Boon for VHF Dxing
Moon-bounce: A Boon for VHF DxingIJERD Editor
 
“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...
“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...
“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...IJERD Editor
 
Importance of Measurements in Smart Grid
Importance of Measurements in Smart GridImportance of Measurements in Smart Grid
Importance of Measurements in Smart GridIJERD Editor
 
Study of Macro level Properties of SCC using GGBS and Lime stone powder
Study of Macro level Properties of SCC using GGBS and Lime stone powderStudy of Macro level Properties of SCC using GGBS and Lime stone powder
Study of Macro level Properties of SCC using GGBS and Lime stone powderIJERD Editor
 

More from IJERD Editor (20)

A Novel Method for Prevention of Bandwidth Distributed Denial of Service Attacks
A Novel Method for Prevention of Bandwidth Distributed Denial of Service AttacksA Novel Method for Prevention of Bandwidth Distributed Denial of Service Attacks
A Novel Method for Prevention of Bandwidth Distributed Denial of Service Attacks
 
MEMS MICROPHONE INTERFACE
MEMS MICROPHONE INTERFACEMEMS MICROPHONE INTERFACE
MEMS MICROPHONE INTERFACE
 
Influence of tensile behaviour of slab on the structural Behaviour of shear c...
Influence of tensile behaviour of slab on the structural Behaviour of shear c...Influence of tensile behaviour of slab on the structural Behaviour of shear c...
Influence of tensile behaviour of slab on the structural Behaviour of shear c...
 
Gold prospecting using Remote Sensing ‘A case study of Sudan’
Gold prospecting using Remote Sensing ‘A case study of Sudan’Gold prospecting using Remote Sensing ‘A case study of Sudan’
Gold prospecting using Remote Sensing ‘A case study of Sudan’
 
Reducing Corrosion Rate by Welding Design
Reducing Corrosion Rate by Welding DesignReducing Corrosion Rate by Welding Design
Reducing Corrosion Rate by Welding Design
 
Router 1X3 – RTL Design and Verification
Router 1X3 – RTL Design and VerificationRouter 1X3 – RTL Design and Verification
Router 1X3 – RTL Design and Verification
 
Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...
Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...
Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...
 
Mitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVR
Mitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVRMitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVR
Mitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVR
 
Study on the Fused Deposition Modelling In Additive Manufacturing
Study on the Fused Deposition Modelling In Additive ManufacturingStudy on the Fused Deposition Modelling In Additive Manufacturing
Study on the Fused Deposition Modelling In Additive Manufacturing
 
Spyware triggering system by particular string value
Spyware triggering system by particular string valueSpyware triggering system by particular string value
Spyware triggering system by particular string value
 
A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...
A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...
A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...
 
Secure Image Transmission for Cloud Storage System Using Hybrid Scheme
Secure Image Transmission for Cloud Storage System Using Hybrid SchemeSecure Image Transmission for Cloud Storage System Using Hybrid Scheme
Secure Image Transmission for Cloud Storage System Using Hybrid Scheme
 
Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...
Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...
Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...
 
Gesture Gaming on the World Wide Web Using an Ordinary Web Camera
Gesture Gaming on the World Wide Web Using an Ordinary Web CameraGesture Gaming on the World Wide Web Using an Ordinary Web Camera
Gesture Gaming on the World Wide Web Using an Ordinary Web Camera
 
Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...
Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...
Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...
 
Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...
Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...
Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...
 
Moon-bounce: A Boon for VHF Dxing
Moon-bounce: A Boon for VHF DxingMoon-bounce: A Boon for VHF Dxing
Moon-bounce: A Boon for VHF Dxing
 
“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...
“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...
“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...
 
Importance of Measurements in Smart Grid
Importance of Measurements in Smart GridImportance of Measurements in Smart Grid
Importance of Measurements in Smart Grid
 
Study of Macro level Properties of SCC using GGBS and Lime stone powder
Study of Macro level Properties of SCC using GGBS and Lime stone powderStudy of Macro level Properties of SCC using GGBS and Lime stone powder
Study of Macro level Properties of SCC using GGBS and Lime stone powder
 

Recently uploaded

AI Workshops at Computers In Libraries 2024
AI Workshops at Computers In Libraries 2024AI Workshops at Computers In Libraries 2024
AI Workshops at Computers In Libraries 2024Brian Pichman
 
Where developers are challenged, what developers want and where DevEx is going
Where developers are challenged, what developers want and where DevEx is goingWhere developers are challenged, what developers want and where DevEx is going
Where developers are challenged, what developers want and where DevEx is goingFrancesco Corti
 
Stobox 4: Revolutionizing Investment in Real-World Assets Through Tokenization
Stobox 4: Revolutionizing Investment in Real-World Assets Through TokenizationStobox 4: Revolutionizing Investment in Real-World Assets Through Tokenization
Stobox 4: Revolutionizing Investment in Real-World Assets Through TokenizationStobox
 
TrustArc Webinar - How to Live in a Post Third-Party Cookie World
TrustArc Webinar - How to Live in a Post Third-Party Cookie WorldTrustArc Webinar - How to Live in a Post Third-Party Cookie World
TrustArc Webinar - How to Live in a Post Third-Party Cookie WorldTrustArc
 
Outage Analysis: March 5th/6th 2024 Meta, Comcast, and LinkedIn
Outage Analysis: March 5th/6th 2024 Meta, Comcast, and LinkedInOutage Analysis: March 5th/6th 2024 Meta, Comcast, and LinkedIn
Outage Analysis: March 5th/6th 2024 Meta, Comcast, and LinkedInThousandEyes
 
Scenario Library et REX Discover industry- and role- based scenarios
Scenario Library et REX Discover industry- and role- based scenariosScenario Library et REX Discover industry- and role- based scenarios
Scenario Library et REX Discover industry- and role- based scenariosErol GIRAUDY
 
UiPath Studio Web workshop series - Day 2
UiPath Studio Web workshop series - Day 2UiPath Studio Web workshop series - Day 2
UiPath Studio Web workshop series - Day 2DianaGray10
 
Key Trends Shaping the Future of Infrastructure.pdf
Key Trends Shaping the Future of Infrastructure.pdfKey Trends Shaping the Future of Infrastructure.pdf
Key Trends Shaping the Future of Infrastructure.pdfCheryl Hung
 
Trailblazer Community - Flows Workshop (Session 2)
Trailblazer Community - Flows Workshop (Session 2)Trailblazer Community - Flows Workshop (Session 2)
Trailblazer Community - Flows Workshop (Session 2)Muhammad Tiham Siddiqui
 
The New Cloud World Order Is FinOps (Slideshow)
The New Cloud World Order Is FinOps (Slideshow)The New Cloud World Order Is FinOps (Slideshow)
The New Cloud World Order Is FinOps (Slideshow)codyslingerland1
 
Q4 2023 Quarterly Investor Presentation - FINAL - v1.pdf
Q4 2023 Quarterly Investor Presentation - FINAL - v1.pdfQ4 2023 Quarterly Investor Presentation - FINAL - v1.pdf
Q4 2023 Quarterly Investor Presentation - FINAL - v1.pdfTejal81
 
Graphene Quantum Dots-Based Composites for Biomedical Applications
Graphene Quantum Dots-Based Composites for  Biomedical ApplicationsGraphene Quantum Dots-Based Composites for  Biomedical Applications
Graphene Quantum Dots-Based Composites for Biomedical Applicationsnooralam814309
 
How to become a GDSC Lead GDSC MI AOE.pptx
How to become a GDSC Lead GDSC MI AOE.pptxHow to become a GDSC Lead GDSC MI AOE.pptx
How to become a GDSC Lead GDSC MI AOE.pptxKaustubhBhavsar6
 
How to release an Open Source Dataweave Library
How to release an Open Source Dataweave LibraryHow to release an Open Source Dataweave Library
How to release an Open Source Dataweave Libraryshyamraj55
 
Novo Nordisk's journey in developing an open-source application on Neo4j
Novo Nordisk's journey in developing an open-source application on Neo4jNovo Nordisk's journey in developing an open-source application on Neo4j
Novo Nordisk's journey in developing an open-source application on Neo4jNeo4j
 
Extra-120324-Visite-Entreprise-icare.pdf
Extra-120324-Visite-Entreprise-icare.pdfExtra-120324-Visite-Entreprise-icare.pdf
Extra-120324-Visite-Entreprise-icare.pdfInfopole1
 
CyberSecurity - Computers In Libraries 2024
CyberSecurity - Computers In Libraries 2024CyberSecurity - Computers In Libraries 2024
CyberSecurity - Computers In Libraries 2024Brian Pichman
 
Technical SEO for Improved Accessibility WTS FEST
Technical SEO for Improved Accessibility  WTS FESTTechnical SEO for Improved Accessibility  WTS FEST
Technical SEO for Improved Accessibility WTS FESTBillieHyde
 
UiPath Studio Web workshop series - Day 1
UiPath Studio Web workshop series  - Day 1UiPath Studio Web workshop series  - Day 1
UiPath Studio Web workshop series - Day 1DianaGray10
 

Recently uploaded (20)

AI Workshops at Computers In Libraries 2024
AI Workshops at Computers In Libraries 2024AI Workshops at Computers In Libraries 2024
AI Workshops at Computers In Libraries 2024
 
Where developers are challenged, what developers want and where DevEx is going
Where developers are challenged, what developers want and where DevEx is goingWhere developers are challenged, what developers want and where DevEx is going
Where developers are challenged, what developers want and where DevEx is going
 
Stobox 4: Revolutionizing Investment in Real-World Assets Through Tokenization
Stobox 4: Revolutionizing Investment in Real-World Assets Through TokenizationStobox 4: Revolutionizing Investment in Real-World Assets Through Tokenization
Stobox 4: Revolutionizing Investment in Real-World Assets Through Tokenization
 
TrustArc Webinar - How to Live in a Post Third-Party Cookie World
TrustArc Webinar - How to Live in a Post Third-Party Cookie WorldTrustArc Webinar - How to Live in a Post Third-Party Cookie World
TrustArc Webinar - How to Live in a Post Third-Party Cookie World
 
Outage Analysis: March 5th/6th 2024 Meta, Comcast, and LinkedIn
Outage Analysis: March 5th/6th 2024 Meta, Comcast, and LinkedInOutage Analysis: March 5th/6th 2024 Meta, Comcast, and LinkedIn
Outage Analysis: March 5th/6th 2024 Meta, Comcast, and LinkedIn
 
Scenario Library et REX Discover industry- and role- based scenarios
Scenario Library et REX Discover industry- and role- based scenariosScenario Library et REX Discover industry- and role- based scenarios
Scenario Library et REX Discover industry- and role- based scenarios
 
UiPath Studio Web workshop series - Day 2
UiPath Studio Web workshop series - Day 2UiPath Studio Web workshop series - Day 2
UiPath Studio Web workshop series - Day 2
 
Key Trends Shaping the Future of Infrastructure.pdf
Key Trends Shaping the Future of Infrastructure.pdfKey Trends Shaping the Future of Infrastructure.pdf
Key Trends Shaping the Future of Infrastructure.pdf
 
Trailblazer Community - Flows Workshop (Session 2)
Trailblazer Community - Flows Workshop (Session 2)Trailblazer Community - Flows Workshop (Session 2)
Trailblazer Community - Flows Workshop (Session 2)
 
The New Cloud World Order Is FinOps (Slideshow)
The New Cloud World Order Is FinOps (Slideshow)The New Cloud World Order Is FinOps (Slideshow)
The New Cloud World Order Is FinOps (Slideshow)
 
Q4 2023 Quarterly Investor Presentation - FINAL - v1.pdf
Q4 2023 Quarterly Investor Presentation - FINAL - v1.pdfQ4 2023 Quarterly Investor Presentation - FINAL - v1.pdf
Q4 2023 Quarterly Investor Presentation - FINAL - v1.pdf
 
Graphene Quantum Dots-Based Composites for Biomedical Applications
Graphene Quantum Dots-Based Composites for  Biomedical ApplicationsGraphene Quantum Dots-Based Composites for  Biomedical Applications
Graphene Quantum Dots-Based Composites for Biomedical Applications
 
How to become a GDSC Lead GDSC MI AOE.pptx
How to become a GDSC Lead GDSC MI AOE.pptxHow to become a GDSC Lead GDSC MI AOE.pptx
How to become a GDSC Lead GDSC MI AOE.pptx
 
How to release an Open Source Dataweave Library
How to release an Open Source Dataweave LibraryHow to release an Open Source Dataweave Library
How to release an Open Source Dataweave Library
 
Novo Nordisk's journey in developing an open-source application on Neo4j
Novo Nordisk's journey in developing an open-source application on Neo4jNovo Nordisk's journey in developing an open-source application on Neo4j
Novo Nordisk's journey in developing an open-source application on Neo4j
 
Extra-120324-Visite-Entreprise-icare.pdf
Extra-120324-Visite-Entreprise-icare.pdfExtra-120324-Visite-Entreprise-icare.pdf
Extra-120324-Visite-Entreprise-icare.pdf
 
CyberSecurity - Computers In Libraries 2024
CyberSecurity - Computers In Libraries 2024CyberSecurity - Computers In Libraries 2024
CyberSecurity - Computers In Libraries 2024
 
Technical SEO for Improved Accessibility WTS FEST
Technical SEO for Improved Accessibility  WTS FESTTechnical SEO for Improved Accessibility  WTS FEST
Technical SEO for Improved Accessibility WTS FEST
 
UiPath Studio Web workshop series - Day 1
UiPath Studio Web workshop series  - Day 1UiPath Studio Web workshop series  - Day 1
UiPath Studio Web workshop series - Day 1
 
SheDev 2024
SheDev 2024SheDev 2024
SheDev 2024
 

J1067783

  • 1. International Journal of Engineering Research and Development e-ISSN: 2278-067X, p-ISSN: 2278-800X, www.ijerd.com Volume 10, Issue 6 (June 2014), PP.77-83 77 Hardware Sharing Design for Programmable Memory Built-In Self Test 1 K. Abdul Munaf, 2 K.Venkata Siva Reddy, 3 M.V.Ramana Reddy 1 Assistant Professor, Department of ECE, RCEW, Kurnool, Andhrapradesh, India 2 Assistant Professor, Department of ECE, RCEW, Kurnool, Andhrapradesh, India 3 Assistant Professor, Department of ECE, RCEW, Kurnool, Andhrapradesh, India Abstract:- As the progress of deep submicron technology, embedded memory grows greatly in the System-on- Chip design. An efficient test method with relatively low cost is required for mass production process. Programmable Built-In Self-Test (P-MBIST) solution provides a certain degree of flexibility with reasonable hardware cost, based on the customized controller/processor. In this work, we propose a hardware sharing architecture for P-MBIST design. Through sharing the common address generator and controller, the area overhead of P-MBIST circuit can be significantly reduced. Higher testing speed can be achieved by inserting two pipeline stages. Finally, the proposed P-MBIST circuit can be automatically generated from the user- defined configuration file. Keywords:- Memory, Instructions, Controller, Pipelining, P-MBIST, etc., I. INTRODUCTION With increasing design complexity in modern SOC design, many memory instances with different sizes and types would be included. To test all of the memory with relatively low cost becomes an important issue. Providing user-defined pattern for screening out various manufacturing defects is also a major demand. To ease the tradeoff between the hardware cost and test flexibility, Programmable Built-In Self-Test (P-MBIST) method is an opening approach to complete the memory testing under these circumstances. Many researchers have been focused on P-MBIST design [1-5]. Processor-based architecture provides high test flexibility, but it increases the test development costs while applying to various processor family [1-2]. To lower the design cost, a customized processor and instruction have been developed [3]. It uses program memory to store the test program. To further reduce the hardware cost, the instruction can be serially input and saved in one internal register by adopting simple controller [4-5]. However, the issue for multi-instance testing in parallel is not discussed [6]. In this work, we propose a hardware sharing architecture to test the memory with same type in parallelism. The proposed method uses only one address counter to generate the required address for March- based algorithm, including row scan and column scan. The controller can be applied to different memory types with the same read/write cycle. Higher testing speed can be achieved by inserting two pipeline stages. Finally, the proposed P-MBIST engine can be automatically generated from the user-defined configuration file. The paper is organized as follows. Section 2 gives a brief concept of the March-based algorithm. In Section 3, the proposed architecture and the detailed component design will be addressed. The pipeline design issue is also discussed. Section 4 describes the automation flow of the overall P-MBIST engine. The implementation result and the relative comparison are presented in Section 5. Finally, a brief summary is given in Section 6. II. SUPPORTED TESTING ALGORITHMS Many efficient testing algorithms have been proposed to detect different fault models [7-8]. However, to implement various testing algorithms in the same P-BIST design would require high area cost. Thus, the selection of test algorithm families should be carefully considered. March-based algorithm is an important class to detect a large variety of faults [8]. A March test consists of a finite sequence of March elements. Each element performs a series of "reading" and "writing" operations to all memory cells. The addressing order of each element is executed in ascending (), descending (), or either () way. As a test example described in Fig. 1, it contains three March elements. The first element performs writing 0 to each memory cell in either addressing order. The second element performs two operations to each address in ascending order. Finally, the third element reads all the address in descending order. The complexity order is noted to 4N, where N is the number of memory addresses.
  • 2. Hardware Sharing Design for Programmable Memory Built-In Self Test 78 Beside, based on the March-based test sequence, the dynamic faults can be tested by repeatly performing the same operation in the same memory cell [9].To efficiently implement March-based algorithm, we define the corresponding instruction for P-MBIST architecture. The advantage is that one can program the instruction to modify the testing algorithm during run time. In our shared architecture, only one address generator is required for testing all memory. Also, different memory types with the same read/write cycle can share the same controller. In the following section, we will discuss the overall architecture and its detailed component design. The pipeline design issue will be also addressed. III. PROPOSED PMBIST ARCHITECTURE To support flexible March elements and diagnostic procedures, the proposed P-MBIST provides the following features :( 1)different data background,(2) programmable March-based element,(3) column-scan addressing mode,(4) capturing the address, syndrome and state of failures,(5) parallel and sequential test, (6)pipeline mode for higher test speed. TABLE I lists the detailed programmable items of instructions. The folded and inverted bits can invert the data_bg while the switching of the specified address bit. By setting the data_bg to 0 and configuring both folded and inverted bits to 1, the checkerboard pattern can be generated. Column-scan addressing can be controlled by cs_sel. Finally each March operation description uses three bits, EOC, R/W, and polarity, to define the operation. Fig 2 gives an instruction example of performing three operations in a March element. By setting up the diagnosis bit to 1, the failure information will be dumped out while detecting, them is matching memory output.
  • 3. Hardware Sharing Design for Programmable Memory Built-In Self Test 79 Fig 3 shows the block diagram of the proposed P-MBIST design, firstly the instruction is serially shifted into instruction_read module. To reduce the hardware costs, the address counter is shared to test all memory instances. Moreover the decoder block can support different memory type testing without increasing any state (i. e each memory has the same read/write cycle). The memory in the same type can be grouped and tested in either sequential or parallel way. The supported memory types include single/dual two-port SRAM, one/two-port register file and ROM. Finally according to the user-define configuration file the proposed P-MBIST engine can be automatically generated from the developed software program. A. Address Generator To share the common address generator, it is a simple way to choose an address counter with the largest address bit among all the under-tested memory instances. As shown in Fig. 4, the low-bit of address counter can be used to indicate the low-address memory instance, by properly controlling the chip-select signal for each memory instance. As for the column-scan mode, the address counter is not just ascending by 1. TABLE II provides an example, which defines the column Mux to 4 and the maximum address to 11. In TABLE II, the 1st column shows the address counter output for row scan (i.e. ascending by 1). The 2nd column gives the address for column scan (i.e. ascending by 4). To share the row-scan and column-scan adder, we use the up-scramble to adjust the added bit for column-scan mode. As shown in the 3rd column, the low bit of A[1:0] is shifted to the position of most significant bit, which means the row adder can directly apply to the A[2] bit. Similarly, after the process of down- scrambler, the column-scan address for ascending by 4 can be generated. By adding two scramble blocks, the row adder can support the column addressing. Fig. 5 shows the block diagram of address generator for row-scan and column-scan modes.
  • 4. Hardware Sharing Design for Programmable Memory Built-In Self Test 80 To continuously perform the operation to each address in column-scan way, it encounters two special cases due to overflow of address-up/down counting. TABLE III lists an example for 8-bit addressing, where the column Mux is 4 and the maximal address is 199. In the up-counting case, if the column-scan addressing exceeds the maximum address, the word-line address is reset to 0 and the bit-line address is switched to the next column cell. For the down-counting case, the maximum word-line address should be st to hi-bit part to deal with the overflow case. The overall block diagram of address generator is shown in Fig. 6. The path of column scan and row scan are highlighted. Finally , by the control signals, fail_h and EOC, address counter is held while dumping failure data (i.e. fail_h) and executed continuous operations in the same March element (i.e. EOC). A built-in look- up table is required to store the maximal address and column MUX number of all under-tested memories.
  • 5. Hardware Sharing Design for Programmable Memory Built-In Self Test 81 B. Timing diagram and controller design Fig. 7 shows the general input and output timing diagram. Firstly, users should define the testing group (i.e. gp_sel) and specify the parallel or sequential test (i.e. ps_sel) for the chosen group. Then, the instruction is serially input from the signal, scan_in, while ins_se is enabled. After executing the current instruction, tst_done will go high and the next instruction can be read and so on. Fig. 8 shows a simplified controller supporting 3 continuous March operations. Firstly, the external signal, rst_1, triggers the state and gets into wait state. Once the complete instruction has been input, it will go to March1 state. If the diagnosis mode is turned-on and the fault address is detected, the state will be held until all the failure information has been dumped out. Otherwise, there is no stall cycle while performing each March element. C. Pipeline design issue For higher testing speed, address generator is a critical path because of the long delay of adder and comparator. To enhance the operating frequency, two pipeline stages are inserted to the address generator. The first stage is used to put to the bus of “inc_A” and the second stage is placed to the “Over_flow_CS” signal (i.e. refer to the potential pipeline stage in fir. 6). Fig. 9 illustrates the timing relation between pipelining address generator and two inserted pipeline states, pipe_state1 and pipe_state2. First, the register, inc_A_reg, is determined after one delay cycle of addr_reg. Afterward, Over_flow_CS_reg can be determined and the addr_reg can be further determined. Based on this design method, the operating frequency could achieve high testing-speed case for typical memory application (i.e. 0.13micro meter with 14-bit adder at 500MHz).
  • 6. Hardware Sharing Design for Programmable Memory Built-In Self Test 82 IV. AUTOMATION FLOW OF P-MBIST For the automation flow, we use Tool Command Language (TCL) to develop a software program, which can generate the P-BIST design, according to the user-defined configuration file. As shown in TABLE IV, one can define the numbers of groups, numbers of March elements, pipeline mode, and numbers of memory instances in each group. The detailed memory information should be also provided. As described in TABLE V, it gives the detailed information of the first instance in group TP0. Based on this configuration file, 2-bit address counter and 8-bit data_bg are generated. Three March operations can be programmed. The address counter and controller can be shared to different groups, to reduce the hardware overhead. V. COMPARISONS V. COMPARISIONS To evaluate the proposed P-MBIST architecture, we compare the proposed P-MBIST with Mentor’s benchmark under the same condition. TABLE VI describes the cell area condition; it uses two individual controllers to support parallel and sequential testing. Moreover, the MBIST cannot support different memory types by using the same controller. Thus, it requires individual BIST circuit for each memory type.
  • 7. Hardware Sharing Design for Programmable Memory Built-In Self Test 83 Compared with the proposed architecture, we use the same address counter for all memory instances and share the controller for each group. As shown in TABLE VII, the proposed P-MBIST circuit uses 1582 gates for testing two single-port instances. While extending to three groups with different memory types, it requires additional 374 gates. Furthermore, to support ROM testing with Multi Input Shift Register (MISR) algorithm, it increases 403 gate counts. By applying the proposed hardware sharing method, it can significantly reduce the hardware cost. VI. CONCLUSIONS In this work, we propose an efficient architecture for P-MBIST circuit. Based on the proposed hardware sharing method, we use the common address counter to provide all memory instances, including row and column scan addressing mode. Moreover, the controller can be extended to different memory types in the same read/write cycle condition, without increasing any state. Thus, the hardware cost can be greatly reduced. Finally the proposed P-MBIST circuit can be generated from the user defined configuration file. REFERENCES [1]. S.Boutabza, .M. Nicholaidis K.M. Lamara and A. Costa, "Programmable memory BIST," in Proc. ITC, pp: 45.2, Nov 2005. [2]. I. Bayraktaroglu , 0. Caty and W. Yickkei, "Highly configurable programmable built-in self test architecture for high-speed memories”inproc. VLSI Test Symposium. pp:21 [3]. D. Xiaogang. N. Mukherjee. C Wu-Tung S.M. Reddy. 'Full-speed field-programmable memory BIST architecture in Proc. ITC pp: 45.3. Nov. 2005. [4]. D. Appello, V. Tancorre, P. Bernadi, M.Grosso, M. Rebaudengo and M.S. Reads, 'Embedded Memory Diagnosis: An Industrial Workflow: in Proc. ITC, pp: l -9, Oct. 2006. [5]. S. Boutabza, .M. Nicholaidis K.M. Lamara and A. Costa, "A Transparent based Programmable Memory BIST in Proc. IEEE European Test Symposium. pp.89-96.May2006. [6]. M. Miyazaki. T. Yoneda and H. Fujiwam. "A memory grouping method for sharing memory BIST logic,' in Proc. Asia and South Pacific Design Automation Conference. pp. 671-676. Jan. 2006. [7]. Basic VLSI Design by Douglas A. Pucknell, Kamran Eshraghian, Prentice Hall, 1994 [8]. http://www.mentor.com