SlideShare a Scribd company logo
1 of 6
Download to read offline
Ramesh. K et al Int. Journal of Engineering Research and Application
ISSN : 2248-9622, Vol. 3, Issue 5, Sep-Oct 2013, pp.1509-1514

RESEARCH ARTICLE

www.ijera.com

OPEN ACCESS

Design Of Low Power Cmos High Performance True Single Phase
Clock Dual Modulus Prescaler
Ramesh.K1, E.Velmurugan2, G.Sadiq Basha3
1

Department of Electronics and Communication Engineering V.R.S College of Engineering and Technology,
Arasur Villupuram 607 110. INDIA.
2
Department of Electronics and Communication Engineering V.R.S College of Engineering and Technology,
Arasur Villupuram 607 110. INDIA.
3
Department of Electronics and Communication Engineering V.R.S College of Engineering and Technology,
Arasur Villupuram 607 110. INDIA.

ABSTRACT
Dual-modulus prescaler is a critical block in power conscious PLL design. By modifying the second branch in
the TSPC DFF, two TSPC DFFs can be cascaded directly to provide multiple division ratios. Domino Logic Dflip-flop is used to improve operating speed of true single-phase clock (TSPC), which results Charge sharing,
Glitches and Critical path Delay due to the logic gates for mode selection. In this work True Single Phase Clock
(TSPC) based on Ratioed logic D flip-flop and Transmission Gates (TGs) is implemented in 0.18μm CMOS
process. A Glitch elimination TSPC D-flip flop is used in the synchronous counter. TGs are used in the critical
path and the control logic for mode selection. The power efficient TSPC design technique is applied to 3/4 and
15/16 prescalers, and their performances are compared with previous work.The power efficient dual modulus
3/4 and 15/16 prescalers are designed using Eldo Simulation tool in Mentor Graphics and its performance are
compared. Simulation and measurement results show high-speed, low-power, low PDP and multiple division
ratio capabilities of the power efficient technique with a frequency range of 0.5-3.125GHz. The improved speed,
the power efficiency, and the flexibility will promote its wide deployment in Multi gigahertz range applications.
Keywords -Dual modulus, frequency divider, prescaler, true single-phase clocked (TSPC) logic.

I.

INTRODUCTION

The phase locked (PLL) method of frequency
synthesis is now the most commonly used method of
producing high frequency oscillations in modern
communications equipment. There would not be an
amateur or commercial transceiver of any worth today
that does not employ at least one if not several, Phase
locked loop system s, to generate stable high
frequency oscillation. PLL circuits are now frequently
being used to demodulate FM signals, making
obsolete the Foster-seerly and ratio detectors. Other
applications for PLL circuits include AM
demodulator, FSK decoders, Two-tone decoders and
motor speed controls. High-speed dual-modulus
frequency prescalers play an important role in a phaselocked loop(PLL) design. They allow multiple
division ratios using a single divider. Power
consumption, speed, and the number of available
division ratios are the main design criteria for
frequency prescalers. Several topologies are available
for frequency prescalers in the GHz range, including
current-mode logic (CML) [1]–[3], true single-phase
clocked (TSPC) logic [6], [7], and extended TSPC
(ETSPC) logic [4], [5. From this TSPC prescaler is a
preferred choice when it satisfies the speed
requirement with low power consumption. There are
two basic dual-modulus TSPC prescalers: ÷2/3 and
÷3/4, as shown in Fig. 1
www.ijera.com

Fig.1. Block diagram of (a) the ÷2/3 frequency (b)
÷3/4 frequency prescalers
Due to incorporation of logic Gates between
two D flip-flops (DFFs), speed of dual-modulus
prescalers is usually much slower than that of a ÷2
divider consisting of a TSPC DFF [8]. The
optimization of the D flip-flop in the synchronous
stage is essential to increase the operating frequency
and reduce the power consumption. One of the
common techniques to improve speed is combining
logic gates with DFFs, such as the one demonstrated
in [7]. As shown in Fig. 2 A DMP usually comprises
asynchronous dual-modulus counter and an
asynchronous counter. The critical path delay and the
speed of the D-type flip-flop (DFF) in the
synchronous counter limit its speed particularly at
high moduli. This type of topology stacks four
transistors in the first branch of a DFF to incorporate a
logic function limiting the improvement in speed.
1509 | P a g e
Ramesh. K et al Int. Journal of Engineering Research and Application
ISSN : 2248-9622, Vol. 3, Issue 5, Sep-Oct 2013, pp.1509-1514

Fig.2. TSPC ÷2/3 frequency prescaler combining the
NOR gates into the DFFs
We also note that the ÷3/4 prescaler is rarely
used because the two logic gates shown in Fig. 1(b)
cannot be easily separated and combined with DFFs.
Lack of a high-speed ÷3/4 prescaler poses limitations
in supporting multiple division ratios.
In this brief, we present a design technique
that improves speed of TSPC prescalers without a
power penalty. Based on the proposed technique, the
maximum speed of TSPC prescalers can be increased
by more than 40% comparing with conventional
designs. Moreover, a divide-by-3 prescaler that is able
to work almost at the speed of the ÷2 divider
consisting of a TSPC DFF can be realized. Section 2
discusses design and analysis. Section 3 shows
simulation and measurement results, followed by the
conclusions in Section 4.

II.

ANALYSIS OF TSPC
PRESCALERS

A high-speed TSPC DFF proposed in [6] is
shown in Fig. 3, Functions of the three branches in a
TSPC DFF can be summarized as follows. The first
branch samples input data during a negative cycle of a
clock signal and holds the data during a positive cycle,
the second branch precharges a node T during the
negative clock cycle and evaluates the data during the
positive cycle, and the third one passes the evaluated
data during the positive cycle and holds the output
during the negative cycle. Therefore, the evaluation
result in the second branch decides the output of the
DFF, since the second branch works as domino logic.
Adding a transistor to the node T creates a NOR logic
gate that can change the output value without passing
through the first branch. We exploit this behaviour to
design high-speed dual-modulus prescalers.

Fig.3. Schematic and waveforms of the TSPC DFF
www.ijera.com

www.ijera.com

(a)

(b)
Figure 4. Proposed high speed ÷3/4 frequency
prescaler (a) schematic (b) Implementation of the
prescaler when divide by 3
Power Efficient 3/4 DMP is shown in Fig.4.
A ÷3/4 prescaler has some modifications, which
extends the output by one cycle in every two cycles,
the ÷3/4 prescaler removes one cycle in every four
cycles by comparing the outputs of the two DFFs. To
improve speed and Reduce power consumption; we
remove separated logic gates by creating a feed
through path every three cycles.
Minimization of the delay in the critical path
is another important factor in the design of high-speed
DMP. The critical path delay is primarily due to the
delay of logic gates used for mode selection. The
transmission gate (TG) is implemented using two
CMOS which can be used to form logic gates for
mode selection. Fig.4 shows a circuit for divide-by-3/4
counter which use TGs as logic gate and Control logic
for mode selection. It has a higher speed by
eliminating the NOR-gate introduced critical path
delay, as well as lower power consumption by
minimizing the number of full-speed DFF’s in the 1st
stage. The MUX shown in Fig.4 is a two-input path
selector, logically, the output of the circuit can be
written as
Z = AS + BS
When the selector signal S is high, A is
passed to the output while a on S passes B to the
output. Table I represents its states of transition during
the mode of division by 4 and 3 respectively. The
circuit operation can be illustrated as follow: When
mode control signal is put in divide by 4 modes, S is
high; A is passed to the output. This allows
Q2=D2=Q1 and circuit operates in divide-by-4 mode.
1510 | P a g e
Ramesh. K et al Int. Journal of Engineering Research and Application
ISSN : 2248-9622, Vol. 3, Issue 5, Sep-Oct 2013, pp.1509-1514
Q1Q2 cycles through 00 to 10 to 11 to 01 to 00 and so
on. Whereas, when mode control is in divide by3
mode, S is low, B is passed to the output. This resets
Q2=D2=0 resulting in the divide-by-3 mode operation
of the circuit. Q1Q2 skip state 01, changing directly
from 11 to 00.
To show the speed improvement in the
proposed ÷3/4 prescaler, we compare the critical paths
of the conventional designs and the proposed one. The
critical path delay can be calculated and compared by
referencing the gate delay to a basic inverter.
Assuming that the basic inverter with a PMOS width
of 4 μm and an NMOS width of 1.8 μm has an
intrinsic delay and logical effort of 1 and all transistors
have the minimum gate length, the path delay can be
calculated from the following equation:

Where Tp, Tp0, Rj, Gj, and Fj are the total
delay on the critical path, the delay of a basic inverter,
the ratio of the intrinsic delays of the logic gate, the
logical effort, and the electrical effort.
The critical paths in the proposed divider and
the conventional one with two NOR gates, including
the intrinsic delays and logical efforts of each gates.
The path delays of the proposed divider and the
conventional one are 11 × Tp0 and 14.4 × Tp0,
respectively. The path delay calculation clearly shows
that the proposed design is faster.
2.1 Power Efficient 15/16 Prescaler
Power Efficient 15/16 DMP is shown in
Fig.5. It is comprised of a synchronous dual modulus
divide-by-3/4 counter as described above and an
asynchronous divide-by- 8 counters. The control logic
also uses TGs for simplicity and reducing power
consumption.

www.ijera.com

the output. If B is high and A is low, B is passed to the
output through the TGs. If both A and B are high, the
TGs are off and M1 is on passing A, a high, to the
output.
Table 1 represents its states of transition
during the mode of division by 15 and 16 respectively.
Logical analysis of circuit shows that the relation
between select signals S, Qb2 and mode can be
expressed as:
S=Qb2+Q3+Q4+mode
TABLE 1
State Table for Divide-By- 15/16
Prescaler
S Mode
Present
Next State
Divider
State
Q1’Q2’Q3’Q4’
Q1Q2Q3Q4
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1/0
1

1/0
1/0
1/0
1/0
1/0
1/0
1/0
1/0
1/0
1/0
1/0
1/0
1/0
1/0
1/0
1

0000
1000
1111
0111
0011
1011
1101
0101
0001
1001
1110
0110
0010
1010
1100
0100

The on-resistance of the transmission gate
can be made small by proper sizing. So the extra delay
introduced in the critical signal path is much smaller
than that of the NOR gates in the conventional DMP.
The TGs are used to form OR gate shown in Fig.5.
Consider the case when A and B is low, M1 is off, and
TGs is on. Since the input B is low, a low is passed to
the output. If A is high, M1 is on and A is passed to
www.ijera.com

Mode=1
S=1
Divider_by_16

Mode=0
S=0
Divider_by_15

Unlike conventional DMP, rather than using
static CMOS logic gates, TGs are used to realize the
relation S,Qb2 and mode in the proposed design. It
minimized the delay involved in the critical path.This
analysis shows that TSPC architectures are more
suitable for ultra low power applications since they
have lower short circuit power, while their switching
power and propagation delay can be reduced with
different techniques.

III.

Figure 5 Proposed Power Efficient 15/16 Prescaler

1000
1111
0111
0011
1011
1101
0101
0001
1001
1110
0110
0010
1010
1100
0100/0000
0000

SIMULATION AND
MEASUREMENT

We analyze and compare the conventional
and proposed prescalers designed in a 180-nm CMOS
technology using
The Eldo simulation Tool in
Mentor Graphics is used to obtain the simulated
output for low power true single phase clock (TSPC)
3/4 and 15/16 prescaler. All of the designs use the
TSPC cell shown in Fig. 3.
A single-TSPC divider is included as a
reference because it defines the maximum speed and
the lowest power a dual modulus prescaler can reach.
The power comparison uses the same assumptions,
except that for those prescalers that consume different
powers in two different modes, we use the average
power consumption of the two modes. The power
1511 | P a g e
Ramesh. K et al Int. Journal of Engineering Research and Application
ISSN : 2248-9622, Vol. 3, Issue 5, Sep-Oct 2013, pp.1509-1514
consumptions of the isolation inverters are not
included. Fig. 8 shows the power consumption versus
the operating frequency of the prescalers.
For most of the operating frequencies, the
proposed 2/3 and 3/4 prescaler consumes less power.
The TSPC flip-flop provides the lowest power
consumption with the highest maximum speed, as
expected, and the conventional designs show the
lowest maximum speed with the highest power
consumption. We note that the divide-by-3 prescaler
illustrated in Fig. 4(a) has almost the same speed as
the TSPC flip-flop, which makes it attractive for a
high-speed design.

www.ijera.com

prescaler. The simulated waveforms are obtained by
assigning the inputs at various levels of extraction and
the corresponding outputs are obtained from the
assigned inputs. The outputs obtained are
complementary with respect to the corresponding
complementary inputs. We analyze and compare the
proposed prescalers. The simulated waveforms of the
proposed work are shown here.

3.1 Power Analysis

Figure 7 Power Comparison of prescalers
Fig.7 shows that the power dissipation of
Low Power TSPC Prescalers and TSPC prescalers.
The power dissipation of Low Power TSPC 3/4 and
15/16 Prescalers are 46% and 42% less compared with
the conventional TSPC Prescalers respectively

Figure 9 Simulation Output of Low Power TSPC 3/4
Prescaler
Fig.9 shows the output waveform of the
proposed 3/4 dual-mode prescaler at 2.5GHz in
divide-by-3 and divide-by-4 modes respectively.

3.2 Delay Analysis

Figure 10

Figure 8 Delay Comparison of Prescaler
Fig.8 Shows that the Delay Parameters of
Low Power TSPC Prescalers and TSPC prescalers.
The Delay of Low Power TSPC 3/4 and 15/16
Prescalers 47% and 43% less compared with the
conventional TSPC Prescalers respectively.
3.3 Simulation Results
The Eldo simulation Tool in Mentor
Graphics is used to obtain the simulated output for low
power true single phase clock (TSPC) 3/4 and 15/16
www.ijera.com

Simulation Output of Low Power
TSPC 15/16 Prescaler

The PLL and the VCO are originally
intended for an application with maximum frequency
of 5 GHz, which is lower than the maximum operating
speed of the proposed prescalers. For this reason, the
VCO frequency can only be tuned from 3.4 to 5 GHz.
The measured waveforms and power consumption
values agree well with the simulations results.
Table 2 illustrates Power, Delay and Power
Delay Product parameters of True Single Phase Clock
(TSPC) Prescalers and Low Power 2/3, 3/4 Dual
Modulus prescalers. Table 2 shows that power
consumption is reduced compared to conventional
1512 | P a g e
Ramesh. K et al Int. Journal of Engineering Research and Application
ISSN : 2248-9622, Vol. 3, Issue 5, Sep-Oct 2013, pp.1509-1514
True Single Phase Clock (TSPC) Prescalers. Similarly
in Low Power Dual Modulus prescaler delay is very
less which results high speed operation in various high
frequency Fig. 13 shows the measured output
frequencies in the face of different division ratios with
input frequencies up to 5 GHz. This proposed
technique not only improves flexibility of high-speed
frequency divider design with multiple division ratios
but also reduces power consumption. Delay and
Power Delay Product (PDP) are reduced than their
previous work.The improved speed, the power
efficiency, and the flexibility will promote its wide
deployment in multi gigahertz range applications The
DMP is suitable for high-speed, wide-band and low
power operation of Wireless Communications.
Table II Comparison between TSPC Dual Modulus
Prescaler
LOW POWER
TSPC
TSPC
PRESCALER
PRESCALER
PARAMET
ERS
15/16
3/4
15/16
3/4
prescaler prescal prescal prescale
er
er
r
Number
of
sensitivity
nodes

14

14

7

12

Delay(ps)

1082.7

1176.6

567.8

656.6

Power(pw)

1328.2

1443.1

714.6

774.5

PDP(aJ)

1.437

1.697

0.405

0.508

IV.

CONCLUSION

Dual-modulus prescaler is a critical block in
power conscious PLL design. True Single Phase
Clock (TSPC) based on Ratioed logic D flip-flop and
Transmission Gates (TGs) is implemented and this
technique is applied to the 3/4 and 15/16 prescalers.
Comparing with conventional designs, the proposed
3/4 and 15/16 prescalers shows a 46% and 42%
reduction of power consumption, 47% and 43% speed
increment at maximum operating frequency of a single
TSPC flip-flop respectively. Delay and Power Delay
Product (PDP) are reduced than their previous
work.The improved speed, the power efficiency, and
the flexibility will promote its wide deployment in
multi gigahertz range applications

REFERENCES
[1]

[2]

Alioto M and Palumbo G (2006): ‘Design of
high-speed power efficient MOS currentmode logic frequency dividers’, IEEE Trans.
Circuits Syst. II, Exp. Briefs, Vol. 53, No.11,
pp. 1165–1169.
Cao C (2005): ‘A power efficient 26-GHz
32:1 static frequency divider in 130-nm bulk
cmos’, IEEE Microw. Wireless Compon.
Lett., Vol. 15, No.11, pp. 721–723.

www.ijera.com

www.ijera.com

De Miranda H and Van Noije W (2004): ‘A 4
GHz dual modulus divider-by 32/33 prescaler
in 0.35 um CMOS technology’, in Proc.
IEEE 17th Symp. on Circuits and Syst.
Design, Vol. 17, pp. 94–99.
[4]
Huang Q and Rogenmoser R (1996): ‘Speed
optimization of edge-triggered CMOS
circuits for gigahertz single-phase clocks’,
IEEE J. Solid-State Circuits, Vol. 31, No.3,
pp. 456–465.
[5]
Ji-ren J and Svensson C (1989): ‘A true
single-phase-clock dynamic CMOS circuit
technique’, IEEE J. Solid-State Circuits, Vol.
24, pp. 62–70.
[6]
Krishna M and W M Lim (2010): ‘Design
and analysis of ultra low power true single
phase clock CMOS 2/3 prescaler’, IEEE
Trans. Circuits Syst. I, Reg. Papers, Vol. 57,
No.1, pp. 72–82,
[7]
Pellerano S and Lacaita A (2004): ‘A 13.5Mw 5- GHz frequency synthesizer with
dynamic-logic frequency divider’, IEEE J.
Solid-State Circuits, Vol. 39, No.2, pp. 378–
383.
[8]
Razavi B and Yan R (1994): ‘A 13.4-GHz
CMOS frequency divider’, in Proc. 41st
IEEE Int. Solid-State Circuits Conf. Dig.
Tech. Papers., pp. 176–177.
[9]
Vaucher C and Wang Z (1994): ‘A family of
low-power truly programmable dividers in
standard 0.35 um CMOS technology’, IEEE
J. Solid-state Circuits, Vol. 35, No.7, pp.
1039–1045.
[10] Wohlmuth H and Kehrer D (2005): ‘A 24
GHz dual-modulus prescaler in 90 nm
CMOS’, in IEEE Int. Symp. On Circuits and
Syst., Vol. 4, pp. 3227–3230.
[11] Yu and Ma J (2006): ‘Design and
optimization of the extended true singlephase clock-based prescaler’, IEEE Trans.
Microw. Theory Tech., Vol. 54, No.11, pp.
3828–3835.
[12] You Y and K Cho (2003): ‘A New Dynamic
D-Flip-Flop Aiming at Glitch and Charge
Sharing Free’, IEICE Trans. On Electron,
Vol.E86-C, pp. 496-504.
[13] Yang A and Bibyk S (2000): ‘A fully
integrated dual mode frequency synthesizer
for GSM and wideband CDMA in 0.5um
CMOS’, 44th IEEE Midwest Symposium on
Circuits and Systems, pp. 866-869.
[3]

BIOGRAPHIES:

Ramesh.K received the bachelor’s degree in
Electronics and Communication Engineering from
Anna University Tamilnadu, India, in 2010 and
Master’s degree in VLSI Design from Anna
University,Tamil Nadu, India in 2012. At present he is
1513 | P a g e
Ramesh. K et al Int. Journal of Engineering Research and Application
ISSN : 2248-9622, Vol. 3, Issue 5, Sep-Oct 2013, pp.1509-1514

www.ijera.com

working as Assistant Professor in the Department of
ECE, V.R.S College of Engineering and technology,
Villupuram. His main research interests includes
design of VLSI Data path elements, VLSI signal
processing and Low power VLSI Designs.

Velmurugan.E received the bachelor’s
degree in Electronics and Communication Engineering
from Madras University Tamilnadu, India, in 2004
and Master’s degree in VLSI Design from
Sathyabama University, Tamil Nadu, India in 2010.
At present he is working as Assistant Professor in the
Department of ECE, V.R.S College of Engineering
and technology, Villupuram. His main research
interests includes design of Low power design and
VLSI signal processing.

Sadiq Basha.G received the bachelor’s
degree in Electronics and Communication Engineering
from Periyar University Tamilnadu, India, in 2003 and
Master’s degree in VLSI Design from Sathyabama
University, Tamil Nadu, India in 2009. At present he
is working as Associate Professor in the Department
of ECE, V.R.S College of Engineering and
technology, Villupuram. His main research interests
includes design of Low power VLSI, Microwave
Engineering and Waveguides.

www.ijera.com

1514 | P a g e

More Related Content

What's hot

Interconnected Serialized Architecture for Transmission Systems
Interconnected Serialized Architecture for Transmission SystemsInterconnected Serialized Architecture for Transmission Systems
Interconnected Serialized Architecture for Transmission SystemsIJERD Editor
 
A REVIEW OF THE 0.09 µm STANDARD FULL ADDERS
A REVIEW OF THE 0.09 µm STANDARD FULL ADDERSA REVIEW OF THE 0.09 µm STANDARD FULL ADDERS
A REVIEW OF THE 0.09 µm STANDARD FULL ADDERSVLSICS Design
 
Iaetsd low power pulse triggered flipflop with
Iaetsd low power pulse triggered flipflop withIaetsd low power pulse triggered flipflop with
Iaetsd low power pulse triggered flipflop withIaetsd Iaetsd
 
New scheme for PAPR reduction in FBMC-OQAM systems based on combining TR and ...
New scheme for PAPR reduction in FBMC-OQAM systems based on combining TR and ...New scheme for PAPR reduction in FBMC-OQAM systems based on combining TR and ...
New scheme for PAPR reduction in FBMC-OQAM systems based on combining TR and ...IJECEIAES
 
High Speed Low Power CMOS Domino or Gate Design in 16nm Technology
High Speed Low Power CMOS Domino or Gate Design in 16nm TechnologyHigh Speed Low Power CMOS Domino or Gate Design in 16nm Technology
High Speed Low Power CMOS Domino or Gate Design in 16nm Technologycsandit
 
Hardware Implementation of OFDM system to reduce PAPR using Selective Level M...
Hardware Implementation of OFDM system to reduce PAPR using Selective Level M...Hardware Implementation of OFDM system to reduce PAPR using Selective Level M...
Hardware Implementation of OFDM system to reduce PAPR using Selective Level M...IOSR Journals
 
Improved data efficiency of programmable arbiter based on chip permutation ne...
Improved data efficiency of programmable arbiter based on chip permutation ne...Improved data efficiency of programmable arbiter based on chip permutation ne...
Improved data efficiency of programmable arbiter based on chip permutation ne...EditorIJAERD
 
enhancement of low power pulse triggered flip-flop design based on signal fee...
enhancement of low power pulse triggered flip-flop design based on signal fee...enhancement of low power pulse triggered flip-flop design based on signal fee...
enhancement of low power pulse triggered flip-flop design based on signal fee...Kumar Goud
 
Heterogeneous LTE Networks and Inter-Cell Interference Coordination - Dec 201...
Heterogeneous LTE Networks and Inter-Cell Interference Coordination - Dec 201...Heterogeneous LTE Networks and Inter-Cell Interference Coordination - Dec 201...
Heterogeneous LTE Networks and Inter-Cell Interference Coordination - Dec 201...Eiko Seidel
 
A Survey Analysis on CMOS Integrated Circuits with Clock-Gated Logic Structure
A Survey Analysis on CMOS Integrated Circuits with Clock-Gated Logic StructureA Survey Analysis on CMOS Integrated Circuits with Clock-Gated Logic Structure
A Survey Analysis on CMOS Integrated Circuits with Clock-Gated Logic StructureIJERA Editor
 
Inter-Cell Interference Coordination for LTE-A - Sept 2011 Volker Pauli, Eiko...
Inter-Cell Interference Coordination for LTE-A - Sept 2011 Volker Pauli, Eiko...Inter-Cell Interference Coordination for LTE-A - Sept 2011 Volker Pauli, Eiko...
Inter-Cell Interference Coordination for LTE-A - Sept 2011 Volker Pauli, Eiko...Eiko Seidel
 
Vlsics040303LOW POWER DUAL EDGE - TRIGGERED STATIC D FLIP-FLOP
Vlsics040303LOW POWER DUAL EDGE - TRIGGERED STATIC D FLIP-FLOPVlsics040303LOW POWER DUAL EDGE - TRIGGERED STATIC D FLIP-FLOP
Vlsics040303LOW POWER DUAL EDGE - TRIGGERED STATIC D FLIP-FLOPVLSICS Design
 
Analysis of LTE physical channels overhead
Analysis of LTE physical channels overheadAnalysis of LTE physical channels overhead
Analysis of LTE physical channels overheadTELKOMNIKA JOURNAL
 
Implementation of Pipelined Architecture for Physical Downlink Channels of 3G...
Implementation of Pipelined Architecture for Physical Downlink Channels of 3G...Implementation of Pipelined Architecture for Physical Downlink Channels of 3G...
Implementation of Pipelined Architecture for Physical Downlink Channels of 3G...ijngnjournal
 

What's hot (19)

Interconnected Serialized Architecture for Transmission Systems
Interconnected Serialized Architecture for Transmission SystemsInterconnected Serialized Architecture for Transmission Systems
Interconnected Serialized Architecture for Transmission Systems
 
A REVIEW OF THE 0.09 µm STANDARD FULL ADDERS
A REVIEW OF THE 0.09 µm STANDARD FULL ADDERSA REVIEW OF THE 0.09 µm STANDARD FULL ADDERS
A REVIEW OF THE 0.09 µm STANDARD FULL ADDERS
 
K010346973
K010346973K010346973
K010346973
 
Iaetsd low power pulse triggered flipflop with
Iaetsd low power pulse triggered flipflop withIaetsd low power pulse triggered flipflop with
Iaetsd low power pulse triggered flipflop with
 
New scheme for PAPR reduction in FBMC-OQAM systems based on combining TR and ...
New scheme for PAPR reduction in FBMC-OQAM systems based on combining TR and ...New scheme for PAPR reduction in FBMC-OQAM systems based on combining TR and ...
New scheme for PAPR reduction in FBMC-OQAM systems based on combining TR and ...
 
Design and Analysis of Low Power Implicit Pulse Triggered Flip-Flops
Design and Analysis of Low Power Implicit Pulse Triggered Flip-FlopsDesign and Analysis of Low Power Implicit Pulse Triggered Flip-Flops
Design and Analysis of Low Power Implicit Pulse Triggered Flip-Flops
 
LTE INTRO
LTE INTROLTE INTRO
LTE INTRO
 
High Speed Low Power CMOS Domino or Gate Design in 16nm Technology
High Speed Low Power CMOS Domino or Gate Design in 16nm TechnologyHigh Speed Low Power CMOS Domino or Gate Design in 16nm Technology
High Speed Low Power CMOS Domino or Gate Design in 16nm Technology
 
Hardware Implementation of OFDM system to reduce PAPR using Selective Level M...
Hardware Implementation of OFDM system to reduce PAPR using Selective Level M...Hardware Implementation of OFDM system to reduce PAPR using Selective Level M...
Hardware Implementation of OFDM system to reduce PAPR using Selective Level M...
 
Improved data efficiency of programmable arbiter based on chip permutation ne...
Improved data efficiency of programmable arbiter based on chip permutation ne...Improved data efficiency of programmable arbiter based on chip permutation ne...
Improved data efficiency of programmable arbiter based on chip permutation ne...
 
enhancement of low power pulse triggered flip-flop design based on signal fee...
enhancement of low power pulse triggered flip-flop design based on signal fee...enhancement of low power pulse triggered flip-flop design based on signal fee...
enhancement of low power pulse triggered flip-flop design based on signal fee...
 
Heterogeneous LTE Networks and Inter-Cell Interference Coordination - Dec 201...
Heterogeneous LTE Networks and Inter-Cell Interference Coordination - Dec 201...Heterogeneous LTE Networks and Inter-Cell Interference Coordination - Dec 201...
Heterogeneous LTE Networks and Inter-Cell Interference Coordination - Dec 201...
 
A Survey Analysis on CMOS Integrated Circuits with Clock-Gated Logic Structure
A Survey Analysis on CMOS Integrated Circuits with Clock-Gated Logic StructureA Survey Analysis on CMOS Integrated Circuits with Clock-Gated Logic Structure
A Survey Analysis on CMOS Integrated Circuits with Clock-Gated Logic Structure
 
Inter-Cell Interference Coordination for LTE-A - Sept 2011 Volker Pauli, Eiko...
Inter-Cell Interference Coordination for LTE-A - Sept 2011 Volker Pauli, Eiko...Inter-Cell Interference Coordination for LTE-A - Sept 2011 Volker Pauli, Eiko...
Inter-Cell Interference Coordination for LTE-A - Sept 2011 Volker Pauli, Eiko...
 
Vlsics040303LOW POWER DUAL EDGE - TRIGGERED STATIC D FLIP-FLOP
Vlsics040303LOW POWER DUAL EDGE - TRIGGERED STATIC D FLIP-FLOPVlsics040303LOW POWER DUAL EDGE - TRIGGERED STATIC D FLIP-FLOP
Vlsics040303LOW POWER DUAL EDGE - TRIGGERED STATIC D FLIP-FLOP
 
Ch33509513
Ch33509513Ch33509513
Ch33509513
 
Analysis of LTE physical channels overhead
Analysis of LTE physical channels overheadAnalysis of LTE physical channels overhead
Analysis of LTE physical channels overhead
 
D010231821
D010231821D010231821
D010231821
 
Implementation of Pipelined Architecture for Physical Downlink Channels of 3G...
Implementation of Pipelined Architecture for Physical Downlink Channels of 3G...Implementation of Pipelined Architecture for Physical Downlink Channels of 3G...
Implementation of Pipelined Architecture for Physical Downlink Channels of 3G...
 

Viewers also liked

Viewers also liked (20)

Iv3515241527
Iv3515241527Iv3515241527
Iv3515241527
 
Iw3515281533
Iw3515281533Iw3515281533
Iw3515281533
 
Ja3515591563
Ja3515591563Ja3515591563
Ja3515591563
 
Ix3515341540
Ix3515341540Ix3515341540
Ix3515341540
 
Jg3515961599
Jg3515961599Jg3515961599
Jg3515961599
 
Jp3516591662
Jp3516591662Jp3516591662
Jp3516591662
 
Iu3515201523
Iu3515201523Iu3515201523
Iu3515201523
 
Io3514861491
Io3514861491Io3514861491
Io3514861491
 
Ip3514921495
Ip3514921495Ip3514921495
Ip3514921495
 
Ir3515031508
Ir3515031508Ir3515031508
Ir3515031508
 
It3515151519
It3515151519It3515151519
It3515151519
 
Chem in news
Chem in newsChem in news
Chem in news
 
jeevitam nerpina paatham
jeevitam nerpina paatham jeevitam nerpina paatham
jeevitam nerpina paatham
 
Modulo de computadora power poin [autosaved] nuevooooooooooooooo
Modulo de computadora power poin [autosaved]   nuevoooooooooooooooModulo de computadora power poin [autosaved]   nuevooooooooooooooo
Modulo de computadora power poin [autosaved] nuevooooooooooooooo
 
Apresentação TEMPO AFINIDADES
Apresentação TEMPO AFINIDADESApresentação TEMPO AFINIDADES
Apresentação TEMPO AFINIDADES
 
Prosesor kELOMPOK 2
Prosesor kELOMPOK 2Prosesor kELOMPOK 2
Prosesor kELOMPOK 2
 
Membrana plasmática - aula 3
Membrana plasmática - aula 3Membrana plasmática - aula 3
Membrana plasmática - aula 3
 
Bc4
Bc4Bc4
Bc4
 
Popeyes crisis communication campaign-ŞAhika İrem ARICI
Popeyes crisis communication campaign-ŞAhika İrem ARICIPopeyes crisis communication campaign-ŞAhika İrem ARICI
Popeyes crisis communication campaign-ŞAhika İrem ARICI
 
Gestão e Gerenciamento de Equipamentos Eletrônicos no Âmbito da UFRN
Gestão e Gerenciamento de Equipamentos Eletrônicos no Âmbito da UFRNGestão e Gerenciamento de Equipamentos Eletrônicos no Âmbito da UFRN
Gestão e Gerenciamento de Equipamentos Eletrônicos no Âmbito da UFRN
 

Similar to Is3515091514

DESIGN OF A LOW POWER MULTIBAND CLOCK DISTRIBUTION CIRCUIT USING SINGLE PHASE...
DESIGN OF A LOW POWER MULTIBAND CLOCK DISTRIBUTION CIRCUIT USING SINGLE PHASE...DESIGN OF A LOW POWER MULTIBAND CLOCK DISTRIBUTION CIRCUIT USING SINGLE PHASE...
DESIGN OF A LOW POWER MULTIBAND CLOCK DISTRIBUTION CIRCUIT USING SINGLE PHASE...IJERA Editor
 
Iaetsd design of a low power multiband clock distribution circuit
Iaetsd design of a low power multiband clock distribution circuitIaetsd design of a low power multiband clock distribution circuit
Iaetsd design of a low power multiband clock distribution circuitIaetsd Iaetsd
 
A Novel Flipflop Topology for High Speed and Area Efficient Logic Structure D...
A Novel Flipflop Topology for High Speed and Area Efficient Logic Structure D...A Novel Flipflop Topology for High Speed and Area Efficient Logic Structure D...
A Novel Flipflop Topology for High Speed and Area Efficient Logic Structure D...IOSR Journals
 
A Single-Phase Clock Multiband Low-Power Flexible Divider
A Single-Phase Clock Multiband Low-Power Flexible DividerA Single-Phase Clock Multiband Low-Power Flexible Divider
A Single-Phase Clock Multiband Low-Power Flexible Dividerijsrd.com
 
Welcome to International Journal of Engineering Research and Development (IJERD)
Welcome to International Journal of Engineering Research and Development (IJERD)Welcome to International Journal of Engineering Research and Development (IJERD)
Welcome to International Journal of Engineering Research and Development (IJERD)IJERD Editor
 
Design of High Speed Phase Frequency Detector in 0.18 μm CMOS Process for PLL...
Design of High Speed Phase Frequency Detector in 0.18 μm CMOS Process for PLL...Design of High Speed Phase Frequency Detector in 0.18 μm CMOS Process for PLL...
Design of High Speed Phase Frequency Detector in 0.18 μm CMOS Process for PLL...Editor IJCATR
 
Performance Evaluation of PAPR Reduction with SER and BER by Modified Clippin...
Performance Evaluation of PAPR Reduction with SER and BER by Modified Clippin...Performance Evaluation of PAPR Reduction with SER and BER by Modified Clippin...
Performance Evaluation of PAPR Reduction with SER and BER by Modified Clippin...ijcsse
 
IRJET - Low Power M-Sequence Code Generator using LFSR for Body Sensor No...
IRJET -  	  Low Power M-Sequence Code Generator using LFSR for Body Sensor No...IRJET -  	  Low Power M-Sequence Code Generator using LFSR for Body Sensor No...
IRJET - Low Power M-Sequence Code Generator using LFSR for Body Sensor No...IRJET Journal
 
Spur Reduction Of MB-OFDM UWB System using CMOS Frequency Synthesizer
Spur Reduction Of MB-OFDM UWB System using CMOS Frequency SynthesizerSpur Reduction Of MB-OFDM UWB System using CMOS Frequency Synthesizer
Spur Reduction Of MB-OFDM UWB System using CMOS Frequency SynthesizerIDES Editor
 
Digital Implementation of Costas Loop with Carrier Recovery
Digital Implementation of Costas Loop with Carrier RecoveryDigital Implementation of Costas Loop with Carrier Recovery
Digital Implementation of Costas Loop with Carrier RecoveryIJERD Editor
 
An efficient approach for squared crest factor
An efficient approach for squared crest factorAn efficient approach for squared crest factor
An efficient approach for squared crest factoreSAT Publishing House
 
Design a Low Power Flip-Flop Based on a Signal Feed-Through Scheme
Design a Low Power Flip-Flop Based on a Signal Feed-Through SchemeDesign a Low Power Flip-Flop Based on a Signal Feed-Through Scheme
Design a Low Power Flip-Flop Based on a Signal Feed-Through SchemeIRJET Journal
 
Design and Analysis of Second and Third Order PLL at 450MHz
Design and Analysis of Second and Third Order PLL at 450MHzDesign and Analysis of Second and Third Order PLL at 450MHz
Design and Analysis of Second and Third Order PLL at 450MHzVLSICS Design
 
A high speed low power consumption d flip flop for high speed phase frequency...
A high speed low power consumption d flip flop for high speed phase frequency...A high speed low power consumption d flip flop for high speed phase frequency...
A high speed low power consumption d flip flop for high speed phase frequency...IAEME Publication
 
A high speed low power consumption d flip flop for high speed phase frequency...
A high speed low power consumption d flip flop for high speed phase frequency...A high speed low power consumption d flip flop for high speed phase frequency...
A high speed low power consumption d flip flop for high speed phase frequency...IAEME Publication
 
Implementation of High Speed OFDM Transceiver using FPGA
Implementation of High Speed OFDM Transceiver using FPGAImplementation of High Speed OFDM Transceiver using FPGA
Implementation of High Speed OFDM Transceiver using FPGAMangaiK4
 
Analysis of Women Harassment inVillages Using CETD Matrix Modal
Analysis of Women Harassment inVillages Using CETD Matrix ModalAnalysis of Women Harassment inVillages Using CETD Matrix Modal
Analysis of Women Harassment inVillages Using CETD Matrix ModalMangaiK4
 

Similar to Is3515091514 (20)

J42046469
J42046469J42046469
J42046469
 
DESIGN OF A LOW POWER MULTIBAND CLOCK DISTRIBUTION CIRCUIT USING SINGLE PHASE...
DESIGN OF A LOW POWER MULTIBAND CLOCK DISTRIBUTION CIRCUIT USING SINGLE PHASE...DESIGN OF A LOW POWER MULTIBAND CLOCK DISTRIBUTION CIRCUIT USING SINGLE PHASE...
DESIGN OF A LOW POWER MULTIBAND CLOCK DISTRIBUTION CIRCUIT USING SINGLE PHASE...
 
Iaetsd design of a low power multiband clock distribution circuit
Iaetsd design of a low power multiband clock distribution circuitIaetsd design of a low power multiband clock distribution circuit
Iaetsd design of a low power multiband clock distribution circuit
 
A Novel Flipflop Topology for High Speed and Area Efficient Logic Structure D...
A Novel Flipflop Topology for High Speed and Area Efficient Logic Structure D...A Novel Flipflop Topology for High Speed and Area Efficient Logic Structure D...
A Novel Flipflop Topology for High Speed and Area Efficient Logic Structure D...
 
Ad4103173176
Ad4103173176Ad4103173176
Ad4103173176
 
A Single-Phase Clock Multiband Low-Power Flexible Divider
A Single-Phase Clock Multiband Low-Power Flexible DividerA Single-Phase Clock Multiband Low-Power Flexible Divider
A Single-Phase Clock Multiband Low-Power Flexible Divider
 
Welcome to International Journal of Engineering Research and Development (IJERD)
Welcome to International Journal of Engineering Research and Development (IJERD)Welcome to International Journal of Engineering Research and Development (IJERD)
Welcome to International Journal of Engineering Research and Development (IJERD)
 
Design of High Speed Phase Frequency Detector in 0.18 μm CMOS Process for PLL...
Design of High Speed Phase Frequency Detector in 0.18 μm CMOS Process for PLL...Design of High Speed Phase Frequency Detector in 0.18 μm CMOS Process for PLL...
Design of High Speed Phase Frequency Detector in 0.18 μm CMOS Process for PLL...
 
Performance Evaluation of PAPR Reduction with SER and BER by Modified Clippin...
Performance Evaluation of PAPR Reduction with SER and BER by Modified Clippin...Performance Evaluation of PAPR Reduction with SER and BER by Modified Clippin...
Performance Evaluation of PAPR Reduction with SER and BER by Modified Clippin...
 
IRJET - Low Power M-Sequence Code Generator using LFSR for Body Sensor No...
IRJET -  	  Low Power M-Sequence Code Generator using LFSR for Body Sensor No...IRJET -  	  Low Power M-Sequence Code Generator using LFSR for Body Sensor No...
IRJET - Low Power M-Sequence Code Generator using LFSR for Body Sensor No...
 
Spur Reduction Of MB-OFDM UWB System using CMOS Frequency Synthesizer
Spur Reduction Of MB-OFDM UWB System using CMOS Frequency SynthesizerSpur Reduction Of MB-OFDM UWB System using CMOS Frequency Synthesizer
Spur Reduction Of MB-OFDM UWB System using CMOS Frequency Synthesizer
 
Ch33509513
Ch33509513Ch33509513
Ch33509513
 
Digital Implementation of Costas Loop with Carrier Recovery
Digital Implementation of Costas Loop with Carrier RecoveryDigital Implementation of Costas Loop with Carrier Recovery
Digital Implementation of Costas Loop with Carrier Recovery
 
An efficient approach for squared crest factor
An efficient approach for squared crest factorAn efficient approach for squared crest factor
An efficient approach for squared crest factor
 
Design a Low Power Flip-Flop Based on a Signal Feed-Through Scheme
Design a Low Power Flip-Flop Based on a Signal Feed-Through SchemeDesign a Low Power Flip-Flop Based on a Signal Feed-Through Scheme
Design a Low Power Flip-Flop Based on a Signal Feed-Through Scheme
 
Design and Analysis of Second and Third Order PLL at 450MHz
Design and Analysis of Second and Third Order PLL at 450MHzDesign and Analysis of Second and Third Order PLL at 450MHz
Design and Analysis of Second and Third Order PLL at 450MHz
 
A high speed low power consumption d flip flop for high speed phase frequency...
A high speed low power consumption d flip flop for high speed phase frequency...A high speed low power consumption d flip flop for high speed phase frequency...
A high speed low power consumption d flip flop for high speed phase frequency...
 
A high speed low power consumption d flip flop for high speed phase frequency...
A high speed low power consumption d flip flop for high speed phase frequency...A high speed low power consumption d flip flop for high speed phase frequency...
A high speed low power consumption d flip flop for high speed phase frequency...
 
Implementation of High Speed OFDM Transceiver using FPGA
Implementation of High Speed OFDM Transceiver using FPGAImplementation of High Speed OFDM Transceiver using FPGA
Implementation of High Speed OFDM Transceiver using FPGA
 
Analysis of Women Harassment inVillages Using CETD Matrix Modal
Analysis of Women Harassment inVillages Using CETD Matrix ModalAnalysis of Women Harassment inVillages Using CETD Matrix Modal
Analysis of Women Harassment inVillages Using CETD Matrix Modal
 

Recently uploaded

Digital Identity is Under Attack: FIDO Paris Seminar.pptx
Digital Identity is Under Attack: FIDO Paris Seminar.pptxDigital Identity is Under Attack: FIDO Paris Seminar.pptx
Digital Identity is Under Attack: FIDO Paris Seminar.pptxLoriGlavin3
 
Time Series Foundation Models - current state and future directions
Time Series Foundation Models - current state and future directionsTime Series Foundation Models - current state and future directions
Time Series Foundation Models - current state and future directionsNathaniel Shimoni
 
TrustArc Webinar - How to Build Consumer Trust Through Data Privacy
TrustArc Webinar - How to Build Consumer Trust Through Data PrivacyTrustArc Webinar - How to Build Consumer Trust Through Data Privacy
TrustArc Webinar - How to Build Consumer Trust Through Data PrivacyTrustArc
 
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptxThe Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptxLoriGlavin3
 
Take control of your SAP testing with UiPath Test Suite
Take control of your SAP testing with UiPath Test SuiteTake control of your SAP testing with UiPath Test Suite
Take control of your SAP testing with UiPath Test SuiteDianaGray10
 
Developer Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLDeveloper Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLScyllaDB
 
Advanced Computer Architecture – An Introduction
Advanced Computer Architecture – An IntroductionAdvanced Computer Architecture – An Introduction
Advanced Computer Architecture – An IntroductionDilum Bandara
 
Use of FIDO in the Payments and Identity Landscape: FIDO Paris Seminar.pptx
Use of FIDO in the Payments and Identity Landscape: FIDO Paris Seminar.pptxUse of FIDO in the Payments and Identity Landscape: FIDO Paris Seminar.pptx
Use of FIDO in the Payments and Identity Landscape: FIDO Paris Seminar.pptxLoriGlavin3
 
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxMerck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxLoriGlavin3
 
Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 3652toLead Limited
 
DevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsDevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsSergiu Bodiu
 
Artificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxArtificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxhariprasad279825
 
DSPy a system for AI to Write Prompts and Do Fine Tuning
DSPy a system for AI to Write Prompts and Do Fine TuningDSPy a system for AI to Write Prompts and Do Fine Tuning
DSPy a system for AI to Write Prompts and Do Fine TuningLars Bell
 
The Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptx
The Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptxThe Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptx
The Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptxLoriGlavin3
 
Unraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfUnraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfAlex Barbosa Coqueiro
 
The Ultimate Guide to Choosing WordPress Pros and Cons
The Ultimate Guide to Choosing WordPress Pros and ConsThe Ultimate Guide to Choosing WordPress Pros and Cons
The Ultimate Guide to Choosing WordPress Pros and ConsPixlogix Infotech
 
Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!Manik S Magar
 
How AI, OpenAI, and ChatGPT impact business and software.
How AI, OpenAI, and ChatGPT impact business and software.How AI, OpenAI, and ChatGPT impact business and software.
How AI, OpenAI, and ChatGPT impact business and software.Curtis Poe
 
DevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenDevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenHervé Boutemy
 
The State of Passkeys with FIDO Alliance.pptx
The State of Passkeys with FIDO Alliance.pptxThe State of Passkeys with FIDO Alliance.pptx
The State of Passkeys with FIDO Alliance.pptxLoriGlavin3
 

Recently uploaded (20)

Digital Identity is Under Attack: FIDO Paris Seminar.pptx
Digital Identity is Under Attack: FIDO Paris Seminar.pptxDigital Identity is Under Attack: FIDO Paris Seminar.pptx
Digital Identity is Under Attack: FIDO Paris Seminar.pptx
 
Time Series Foundation Models - current state and future directions
Time Series Foundation Models - current state and future directionsTime Series Foundation Models - current state and future directions
Time Series Foundation Models - current state and future directions
 
TrustArc Webinar - How to Build Consumer Trust Through Data Privacy
TrustArc Webinar - How to Build Consumer Trust Through Data PrivacyTrustArc Webinar - How to Build Consumer Trust Through Data Privacy
TrustArc Webinar - How to Build Consumer Trust Through Data Privacy
 
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptxThe Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
 
Take control of your SAP testing with UiPath Test Suite
Take control of your SAP testing with UiPath Test SuiteTake control of your SAP testing with UiPath Test Suite
Take control of your SAP testing with UiPath Test Suite
 
Developer Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLDeveloper Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQL
 
Advanced Computer Architecture – An Introduction
Advanced Computer Architecture – An IntroductionAdvanced Computer Architecture – An Introduction
Advanced Computer Architecture – An Introduction
 
Use of FIDO in the Payments and Identity Landscape: FIDO Paris Seminar.pptx
Use of FIDO in the Payments and Identity Landscape: FIDO Paris Seminar.pptxUse of FIDO in the Payments and Identity Landscape: FIDO Paris Seminar.pptx
Use of FIDO in the Payments and Identity Landscape: FIDO Paris Seminar.pptx
 
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxMerck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
 
Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365
 
DevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsDevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platforms
 
Artificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxArtificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptx
 
DSPy a system for AI to Write Prompts and Do Fine Tuning
DSPy a system for AI to Write Prompts and Do Fine TuningDSPy a system for AI to Write Prompts and Do Fine Tuning
DSPy a system for AI to Write Prompts and Do Fine Tuning
 
The Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptx
The Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptxThe Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptx
The Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptx
 
Unraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfUnraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdf
 
The Ultimate Guide to Choosing WordPress Pros and Cons
The Ultimate Guide to Choosing WordPress Pros and ConsThe Ultimate Guide to Choosing WordPress Pros and Cons
The Ultimate Guide to Choosing WordPress Pros and Cons
 
Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!
 
How AI, OpenAI, and ChatGPT impact business and software.
How AI, OpenAI, and ChatGPT impact business and software.How AI, OpenAI, and ChatGPT impact business and software.
How AI, OpenAI, and ChatGPT impact business and software.
 
DevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenDevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache Maven
 
The State of Passkeys with FIDO Alliance.pptx
The State of Passkeys with FIDO Alliance.pptxThe State of Passkeys with FIDO Alliance.pptx
The State of Passkeys with FIDO Alliance.pptx
 

Is3515091514

  • 1. Ramesh. K et al Int. Journal of Engineering Research and Application ISSN : 2248-9622, Vol. 3, Issue 5, Sep-Oct 2013, pp.1509-1514 RESEARCH ARTICLE www.ijera.com OPEN ACCESS Design Of Low Power Cmos High Performance True Single Phase Clock Dual Modulus Prescaler Ramesh.K1, E.Velmurugan2, G.Sadiq Basha3 1 Department of Electronics and Communication Engineering V.R.S College of Engineering and Technology, Arasur Villupuram 607 110. INDIA. 2 Department of Electronics and Communication Engineering V.R.S College of Engineering and Technology, Arasur Villupuram 607 110. INDIA. 3 Department of Electronics and Communication Engineering V.R.S College of Engineering and Technology, Arasur Villupuram 607 110. INDIA. ABSTRACT Dual-modulus prescaler is a critical block in power conscious PLL design. By modifying the second branch in the TSPC DFF, two TSPC DFFs can be cascaded directly to provide multiple division ratios. Domino Logic Dflip-flop is used to improve operating speed of true single-phase clock (TSPC), which results Charge sharing, Glitches and Critical path Delay due to the logic gates for mode selection. In this work True Single Phase Clock (TSPC) based on Ratioed logic D flip-flop and Transmission Gates (TGs) is implemented in 0.18μm CMOS process. A Glitch elimination TSPC D-flip flop is used in the synchronous counter. TGs are used in the critical path and the control logic for mode selection. The power efficient TSPC design technique is applied to 3/4 and 15/16 prescalers, and their performances are compared with previous work.The power efficient dual modulus 3/4 and 15/16 prescalers are designed using Eldo Simulation tool in Mentor Graphics and its performance are compared. Simulation and measurement results show high-speed, low-power, low PDP and multiple division ratio capabilities of the power efficient technique with a frequency range of 0.5-3.125GHz. The improved speed, the power efficiency, and the flexibility will promote its wide deployment in Multi gigahertz range applications. Keywords -Dual modulus, frequency divider, prescaler, true single-phase clocked (TSPC) logic. I. INTRODUCTION The phase locked (PLL) method of frequency synthesis is now the most commonly used method of producing high frequency oscillations in modern communications equipment. There would not be an amateur or commercial transceiver of any worth today that does not employ at least one if not several, Phase locked loop system s, to generate stable high frequency oscillation. PLL circuits are now frequently being used to demodulate FM signals, making obsolete the Foster-seerly and ratio detectors. Other applications for PLL circuits include AM demodulator, FSK decoders, Two-tone decoders and motor speed controls. High-speed dual-modulus frequency prescalers play an important role in a phaselocked loop(PLL) design. They allow multiple division ratios using a single divider. Power consumption, speed, and the number of available division ratios are the main design criteria for frequency prescalers. Several topologies are available for frequency prescalers in the GHz range, including current-mode logic (CML) [1]–[3], true single-phase clocked (TSPC) logic [6], [7], and extended TSPC (ETSPC) logic [4], [5. From this TSPC prescaler is a preferred choice when it satisfies the speed requirement with low power consumption. There are two basic dual-modulus TSPC prescalers: ÷2/3 and ÷3/4, as shown in Fig. 1 www.ijera.com Fig.1. Block diagram of (a) the ÷2/3 frequency (b) ÷3/4 frequency prescalers Due to incorporation of logic Gates between two D flip-flops (DFFs), speed of dual-modulus prescalers is usually much slower than that of a ÷2 divider consisting of a TSPC DFF [8]. The optimization of the D flip-flop in the synchronous stage is essential to increase the operating frequency and reduce the power consumption. One of the common techniques to improve speed is combining logic gates with DFFs, such as the one demonstrated in [7]. As shown in Fig. 2 A DMP usually comprises asynchronous dual-modulus counter and an asynchronous counter. The critical path delay and the speed of the D-type flip-flop (DFF) in the synchronous counter limit its speed particularly at high moduli. This type of topology stacks four transistors in the first branch of a DFF to incorporate a logic function limiting the improvement in speed. 1509 | P a g e
  • 2. Ramesh. K et al Int. Journal of Engineering Research and Application ISSN : 2248-9622, Vol. 3, Issue 5, Sep-Oct 2013, pp.1509-1514 Fig.2. TSPC ÷2/3 frequency prescaler combining the NOR gates into the DFFs We also note that the ÷3/4 prescaler is rarely used because the two logic gates shown in Fig. 1(b) cannot be easily separated and combined with DFFs. Lack of a high-speed ÷3/4 prescaler poses limitations in supporting multiple division ratios. In this brief, we present a design technique that improves speed of TSPC prescalers without a power penalty. Based on the proposed technique, the maximum speed of TSPC prescalers can be increased by more than 40% comparing with conventional designs. Moreover, a divide-by-3 prescaler that is able to work almost at the speed of the ÷2 divider consisting of a TSPC DFF can be realized. Section 2 discusses design and analysis. Section 3 shows simulation and measurement results, followed by the conclusions in Section 4. II. ANALYSIS OF TSPC PRESCALERS A high-speed TSPC DFF proposed in [6] is shown in Fig. 3, Functions of the three branches in a TSPC DFF can be summarized as follows. The first branch samples input data during a negative cycle of a clock signal and holds the data during a positive cycle, the second branch precharges a node T during the negative clock cycle and evaluates the data during the positive cycle, and the third one passes the evaluated data during the positive cycle and holds the output during the negative cycle. Therefore, the evaluation result in the second branch decides the output of the DFF, since the second branch works as domino logic. Adding a transistor to the node T creates a NOR logic gate that can change the output value without passing through the first branch. We exploit this behaviour to design high-speed dual-modulus prescalers. Fig.3. Schematic and waveforms of the TSPC DFF www.ijera.com www.ijera.com (a) (b) Figure 4. Proposed high speed ÷3/4 frequency prescaler (a) schematic (b) Implementation of the prescaler when divide by 3 Power Efficient 3/4 DMP is shown in Fig.4. A ÷3/4 prescaler has some modifications, which extends the output by one cycle in every two cycles, the ÷3/4 prescaler removes one cycle in every four cycles by comparing the outputs of the two DFFs. To improve speed and Reduce power consumption; we remove separated logic gates by creating a feed through path every three cycles. Minimization of the delay in the critical path is another important factor in the design of high-speed DMP. The critical path delay is primarily due to the delay of logic gates used for mode selection. The transmission gate (TG) is implemented using two CMOS which can be used to form logic gates for mode selection. Fig.4 shows a circuit for divide-by-3/4 counter which use TGs as logic gate and Control logic for mode selection. It has a higher speed by eliminating the NOR-gate introduced critical path delay, as well as lower power consumption by minimizing the number of full-speed DFF’s in the 1st stage. The MUX shown in Fig.4 is a two-input path selector, logically, the output of the circuit can be written as Z = AS + BS When the selector signal S is high, A is passed to the output while a on S passes B to the output. Table I represents its states of transition during the mode of division by 4 and 3 respectively. The circuit operation can be illustrated as follow: When mode control signal is put in divide by 4 modes, S is high; A is passed to the output. This allows Q2=D2=Q1 and circuit operates in divide-by-4 mode. 1510 | P a g e
  • 3. Ramesh. K et al Int. Journal of Engineering Research and Application ISSN : 2248-9622, Vol. 3, Issue 5, Sep-Oct 2013, pp.1509-1514 Q1Q2 cycles through 00 to 10 to 11 to 01 to 00 and so on. Whereas, when mode control is in divide by3 mode, S is low, B is passed to the output. This resets Q2=D2=0 resulting in the divide-by-3 mode operation of the circuit. Q1Q2 skip state 01, changing directly from 11 to 00. To show the speed improvement in the proposed ÷3/4 prescaler, we compare the critical paths of the conventional designs and the proposed one. The critical path delay can be calculated and compared by referencing the gate delay to a basic inverter. Assuming that the basic inverter with a PMOS width of 4 μm and an NMOS width of 1.8 μm has an intrinsic delay and logical effort of 1 and all transistors have the minimum gate length, the path delay can be calculated from the following equation: Where Tp, Tp0, Rj, Gj, and Fj are the total delay on the critical path, the delay of a basic inverter, the ratio of the intrinsic delays of the logic gate, the logical effort, and the electrical effort. The critical paths in the proposed divider and the conventional one with two NOR gates, including the intrinsic delays and logical efforts of each gates. The path delays of the proposed divider and the conventional one are 11 × Tp0 and 14.4 × Tp0, respectively. The path delay calculation clearly shows that the proposed design is faster. 2.1 Power Efficient 15/16 Prescaler Power Efficient 15/16 DMP is shown in Fig.5. It is comprised of a synchronous dual modulus divide-by-3/4 counter as described above and an asynchronous divide-by- 8 counters. The control logic also uses TGs for simplicity and reducing power consumption. www.ijera.com the output. If B is high and A is low, B is passed to the output through the TGs. If both A and B are high, the TGs are off and M1 is on passing A, a high, to the output. Table 1 represents its states of transition during the mode of division by 15 and 16 respectively. Logical analysis of circuit shows that the relation between select signals S, Qb2 and mode can be expressed as: S=Qb2+Q3+Q4+mode TABLE 1 State Table for Divide-By- 15/16 Prescaler S Mode Present Next State Divider State Q1’Q2’Q3’Q4’ Q1Q2Q3Q4 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1/0 1 1/0 1/0 1/0 1/0 1/0 1/0 1/0 1/0 1/0 1/0 1/0 1/0 1/0 1/0 1/0 1 0000 1000 1111 0111 0011 1011 1101 0101 0001 1001 1110 0110 0010 1010 1100 0100 The on-resistance of the transmission gate can be made small by proper sizing. So the extra delay introduced in the critical signal path is much smaller than that of the NOR gates in the conventional DMP. The TGs are used to form OR gate shown in Fig.5. Consider the case when A and B is low, M1 is off, and TGs is on. Since the input B is low, a low is passed to the output. If A is high, M1 is on and A is passed to www.ijera.com Mode=1 S=1 Divider_by_16 Mode=0 S=0 Divider_by_15 Unlike conventional DMP, rather than using static CMOS logic gates, TGs are used to realize the relation S,Qb2 and mode in the proposed design. It minimized the delay involved in the critical path.This analysis shows that TSPC architectures are more suitable for ultra low power applications since they have lower short circuit power, while their switching power and propagation delay can be reduced with different techniques. III. Figure 5 Proposed Power Efficient 15/16 Prescaler 1000 1111 0111 0011 1011 1101 0101 0001 1001 1110 0110 0010 1010 1100 0100/0000 0000 SIMULATION AND MEASUREMENT We analyze and compare the conventional and proposed prescalers designed in a 180-nm CMOS technology using The Eldo simulation Tool in Mentor Graphics is used to obtain the simulated output for low power true single phase clock (TSPC) 3/4 and 15/16 prescaler. All of the designs use the TSPC cell shown in Fig. 3. A single-TSPC divider is included as a reference because it defines the maximum speed and the lowest power a dual modulus prescaler can reach. The power comparison uses the same assumptions, except that for those prescalers that consume different powers in two different modes, we use the average power consumption of the two modes. The power 1511 | P a g e
  • 4. Ramesh. K et al Int. Journal of Engineering Research and Application ISSN : 2248-9622, Vol. 3, Issue 5, Sep-Oct 2013, pp.1509-1514 consumptions of the isolation inverters are not included. Fig. 8 shows the power consumption versus the operating frequency of the prescalers. For most of the operating frequencies, the proposed 2/3 and 3/4 prescaler consumes less power. The TSPC flip-flop provides the lowest power consumption with the highest maximum speed, as expected, and the conventional designs show the lowest maximum speed with the highest power consumption. We note that the divide-by-3 prescaler illustrated in Fig. 4(a) has almost the same speed as the TSPC flip-flop, which makes it attractive for a high-speed design. www.ijera.com prescaler. The simulated waveforms are obtained by assigning the inputs at various levels of extraction and the corresponding outputs are obtained from the assigned inputs. The outputs obtained are complementary with respect to the corresponding complementary inputs. We analyze and compare the proposed prescalers. The simulated waveforms of the proposed work are shown here. 3.1 Power Analysis Figure 7 Power Comparison of prescalers Fig.7 shows that the power dissipation of Low Power TSPC Prescalers and TSPC prescalers. The power dissipation of Low Power TSPC 3/4 and 15/16 Prescalers are 46% and 42% less compared with the conventional TSPC Prescalers respectively Figure 9 Simulation Output of Low Power TSPC 3/4 Prescaler Fig.9 shows the output waveform of the proposed 3/4 dual-mode prescaler at 2.5GHz in divide-by-3 and divide-by-4 modes respectively. 3.2 Delay Analysis Figure 10 Figure 8 Delay Comparison of Prescaler Fig.8 Shows that the Delay Parameters of Low Power TSPC Prescalers and TSPC prescalers. The Delay of Low Power TSPC 3/4 and 15/16 Prescalers 47% and 43% less compared with the conventional TSPC Prescalers respectively. 3.3 Simulation Results The Eldo simulation Tool in Mentor Graphics is used to obtain the simulated output for low power true single phase clock (TSPC) 3/4 and 15/16 www.ijera.com Simulation Output of Low Power TSPC 15/16 Prescaler The PLL and the VCO are originally intended for an application with maximum frequency of 5 GHz, which is lower than the maximum operating speed of the proposed prescalers. For this reason, the VCO frequency can only be tuned from 3.4 to 5 GHz. The measured waveforms and power consumption values agree well with the simulations results. Table 2 illustrates Power, Delay and Power Delay Product parameters of True Single Phase Clock (TSPC) Prescalers and Low Power 2/3, 3/4 Dual Modulus prescalers. Table 2 shows that power consumption is reduced compared to conventional 1512 | P a g e
  • 5. Ramesh. K et al Int. Journal of Engineering Research and Application ISSN : 2248-9622, Vol. 3, Issue 5, Sep-Oct 2013, pp.1509-1514 True Single Phase Clock (TSPC) Prescalers. Similarly in Low Power Dual Modulus prescaler delay is very less which results high speed operation in various high frequency Fig. 13 shows the measured output frequencies in the face of different division ratios with input frequencies up to 5 GHz. This proposed technique not only improves flexibility of high-speed frequency divider design with multiple division ratios but also reduces power consumption. Delay and Power Delay Product (PDP) are reduced than their previous work.The improved speed, the power efficiency, and the flexibility will promote its wide deployment in multi gigahertz range applications The DMP is suitable for high-speed, wide-band and low power operation of Wireless Communications. Table II Comparison between TSPC Dual Modulus Prescaler LOW POWER TSPC TSPC PRESCALER PRESCALER PARAMET ERS 15/16 3/4 15/16 3/4 prescaler prescal prescal prescale er er r Number of sensitivity nodes 14 14 7 12 Delay(ps) 1082.7 1176.6 567.8 656.6 Power(pw) 1328.2 1443.1 714.6 774.5 PDP(aJ) 1.437 1.697 0.405 0.508 IV. CONCLUSION Dual-modulus prescaler is a critical block in power conscious PLL design. True Single Phase Clock (TSPC) based on Ratioed logic D flip-flop and Transmission Gates (TGs) is implemented and this technique is applied to the 3/4 and 15/16 prescalers. Comparing with conventional designs, the proposed 3/4 and 15/16 prescalers shows a 46% and 42% reduction of power consumption, 47% and 43% speed increment at maximum operating frequency of a single TSPC flip-flop respectively. Delay and Power Delay Product (PDP) are reduced than their previous work.The improved speed, the power efficiency, and the flexibility will promote its wide deployment in multi gigahertz range applications REFERENCES [1] [2] Alioto M and Palumbo G (2006): ‘Design of high-speed power efficient MOS currentmode logic frequency dividers’, IEEE Trans. Circuits Syst. II, Exp. Briefs, Vol. 53, No.11, pp. 1165–1169. Cao C (2005): ‘A power efficient 26-GHz 32:1 static frequency divider in 130-nm bulk cmos’, IEEE Microw. Wireless Compon. Lett., Vol. 15, No.11, pp. 721–723. www.ijera.com www.ijera.com De Miranda H and Van Noije W (2004): ‘A 4 GHz dual modulus divider-by 32/33 prescaler in 0.35 um CMOS technology’, in Proc. IEEE 17th Symp. on Circuits and Syst. Design, Vol. 17, pp. 94–99. [4] Huang Q and Rogenmoser R (1996): ‘Speed optimization of edge-triggered CMOS circuits for gigahertz single-phase clocks’, IEEE J. Solid-State Circuits, Vol. 31, No.3, pp. 456–465. [5] Ji-ren J and Svensson C (1989): ‘A true single-phase-clock dynamic CMOS circuit technique’, IEEE J. Solid-State Circuits, Vol. 24, pp. 62–70. [6] Krishna M and W M Lim (2010): ‘Design and analysis of ultra low power true single phase clock CMOS 2/3 prescaler’, IEEE Trans. Circuits Syst. I, Reg. Papers, Vol. 57, No.1, pp. 72–82, [7] Pellerano S and Lacaita A (2004): ‘A 13.5Mw 5- GHz frequency synthesizer with dynamic-logic frequency divider’, IEEE J. Solid-State Circuits, Vol. 39, No.2, pp. 378– 383. [8] Razavi B and Yan R (1994): ‘A 13.4-GHz CMOS frequency divider’, in Proc. 41st IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers., pp. 176–177. [9] Vaucher C and Wang Z (1994): ‘A family of low-power truly programmable dividers in standard 0.35 um CMOS technology’, IEEE J. Solid-state Circuits, Vol. 35, No.7, pp. 1039–1045. [10] Wohlmuth H and Kehrer D (2005): ‘A 24 GHz dual-modulus prescaler in 90 nm CMOS’, in IEEE Int. Symp. On Circuits and Syst., Vol. 4, pp. 3227–3230. [11] Yu and Ma J (2006): ‘Design and optimization of the extended true singlephase clock-based prescaler’, IEEE Trans. Microw. Theory Tech., Vol. 54, No.11, pp. 3828–3835. [12] You Y and K Cho (2003): ‘A New Dynamic D-Flip-Flop Aiming at Glitch and Charge Sharing Free’, IEICE Trans. On Electron, Vol.E86-C, pp. 496-504. [13] Yang A and Bibyk S (2000): ‘A fully integrated dual mode frequency synthesizer for GSM and wideband CDMA in 0.5um CMOS’, 44th IEEE Midwest Symposium on Circuits and Systems, pp. 866-869. [3] BIOGRAPHIES: Ramesh.K received the bachelor’s degree in Electronics and Communication Engineering from Anna University Tamilnadu, India, in 2010 and Master’s degree in VLSI Design from Anna University,Tamil Nadu, India in 2012. At present he is 1513 | P a g e
  • 6. Ramesh. K et al Int. Journal of Engineering Research and Application ISSN : 2248-9622, Vol. 3, Issue 5, Sep-Oct 2013, pp.1509-1514 www.ijera.com working as Assistant Professor in the Department of ECE, V.R.S College of Engineering and technology, Villupuram. His main research interests includes design of VLSI Data path elements, VLSI signal processing and Low power VLSI Designs. Velmurugan.E received the bachelor’s degree in Electronics and Communication Engineering from Madras University Tamilnadu, India, in 2004 and Master’s degree in VLSI Design from Sathyabama University, Tamil Nadu, India in 2010. At present he is working as Assistant Professor in the Department of ECE, V.R.S College of Engineering and technology, Villupuram. His main research interests includes design of Low power design and VLSI signal processing. Sadiq Basha.G received the bachelor’s degree in Electronics and Communication Engineering from Periyar University Tamilnadu, India, in 2003 and Master’s degree in VLSI Design from Sathyabama University, Tamil Nadu, India in 2009. At present he is working as Associate Professor in the Department of ECE, V.R.S College of Engineering and technology, Villupuram. His main research interests includes design of Low power VLSI, Microwave Engineering and Waveguides. www.ijera.com 1514 | P a g e