SlideShare a Scribd company logo
1 of 6
SEP
INSTITUTO

DGEST
TECNOLÓGICO

SNEST
DE

MATAMOROS

DEPARTAMENTO DE INGENIERÍA ELÉCTRICA Y ELECTRÓNICA
Proyecto: “juego naves”
Diseño Digital con VHDL

Equipo:

Alumno(s):

Núm. de control:

Mario Arturo Cruz Colunga

11260077

Miguel Angel Fierros Peña

11260081

Hermenegildo Martínez de la Cruz
Jorge Alejandro Reyes Torres

H. MATAMOROS, TAM.

11260095
11260108
Objetivo:
Realizar un juego con los display de 7 segmentos simulando naves que deben esquivar obstáculo
que llegan a él en forma aleatoria.

Introducción:
Realizar un juego empleando los 4 display que proporciona el basys2 en el que se mostrar una
sucesión de líneas las cuales deben ser esquivadas por el jugador, representando la posición del
jugador en el display de lado contrario de donde empiezan las secuencias de líneas.

Material:
Kit basys2
Computadora
Desarrollo:
Creación de archivo bde usado como top.
VCCCCCCCC
V V V

clk

U3

clkpo

m c lk

rst

bus42(1)
bus42(2)
bus42(4)
bus42(5)

re s e t

c lk o ut

posicion jugador
U2 p c e n
c lk p o

I

I

dispdat

U1

bus42(6)
O
bus42(3)
O

p d ow n

up

p up

I

bus42(0)

U8

an0

d 1 (6:0 )

an1

d 2 (6:0 )

O

s e v enS eg m e nt(6:0 )

rs t

down

c lk

an2

d 3 (6:0 )

d2
d3

an3

p o s (0:6 ) e nd part

sevenSegment(6:0)
an0
an1
an2
an3

d p o s(6 :0 )
p up
p ce n
p d ow n

checkpo

start

re s e t

U7
re s e t

s tart

finle v e l

d 1 (0:6 )

c lk j

U10

d 2 (0:6 )

s tate lev e l
m c lk

d 3 (0:6 )

e nd j

U11 contlevel

d 0 (0:6 )

s av ar(0 :1 )
m c lk

c lk o ut

e ntvar(0:1 )

s o lv ar

re s e t
re s e t

juego

p o c lk
v e l(4:0 )
s e nd v ar

clkj

U9
c lk p o

v e l(0:4 )

s tart
s tatele v

selv

re s e t

En el bde top se realizan alguna conexiones como lo son inversores y conexiones para colocar en un
‘1’ a las salidas de display de posición del juagor ya que no se ocupan.
Descripción de las funciones de cada entidad.
U12
m c lk

c lk o ut

re s e t

clkpo

Es un divisor de reloj encargado de proporcionar un reloj para detectar los pulsos generados por los
pushbutton del basys2 y solo detectar un cambio. Debido al uso de 2 entradas para controlar 3
posiciones.
U12
c lk p o

p ce n

down

p d ow n

up

p up

posicion jugador

Se encarga de enviar la señal de encendido de uno de los segmentos del display, se enciende el
segmento de acuerdo a la posición que se seleccione con los pushbutton
an3

an2

an1

an0

U12
U2
clk
rst

c lk

U1
c lk O ut

c lk

s e v enS eg m e nt(6:0 )

an1

rs t

an0

an2

d 1 (6:0 )

an1

d 2 (6:0 )

an2

d 3 (6:0 )

an3

an2

GND

an0

an3

s e v enO ut(6:0 )

sevenSegment(6:0)

an3

controlador de anodos
d1(6:0)
d2(6:0)
d3(6:0)
dpos(6:0)

c lk

an0

e ntrad a an1

rs t

clkdiv

U9

s e v enO ne (6:0 )
s e v e nT e n(6 :0 )
s e v enO ne2 (6:0 )

d p o s(6 :0 )

s e v enT e n2 (6:0 )

sevenSelect

dispdat

Esta entidad esta forma por otras entidades para ahorrar espacio en el bde top.
Se encarga de enviar los datos en sus entradas a su correspondiente salida en uno de los 4.
U12
p o s (0:6 ) e nd part
p up
p ce n
p d ow n
re s e t

checkpo

Proporciona la decisión de cuando se pierde la partida, comparando la posición del juagor con el
estado en que se encontraba la línea en el display de obstáculos.
U12
re s e t

d 3 (0:6 )

e nd j

d 2 (0:6 )

s tate lev e l

d 1 (0:6 )

c lk j

d 0 (0:6 )

e ntvar(0:1 )

s o lv ar

juego

Esta entidad es la más importante pues que envía los datos a mostrar en los display de obstáculos,
la cual contiene una memoria con las diferentes posiciones en que puede llegar a estar el obstáculo,
la entidad decide que dato enviar de acuerdo a una variable de entrada. Y de si se encuentra inicia la
partida mediante la entrada statelevel.
U12
m c lk

finle v e l

s tart

s av ar(0 :1 )

re s e t
p o c lk
s e nd v ar

contlevel

Registra el inicio y fin de una partida enviando un 1 por finlevel si acabo un nivel o cero si se
encuentra jugando.
Al recibir una señal a través de sendvar envía un numero por savar la cual ocupa entidad juego para
selecciona un dato.
U12
m c lk

c lk o ut

re s e t
v e l(4:0 )

clkj

Es un divisor de reloj controlado por una variable, proporciona el sincronismo a entidad juego para
que cambie la velocidad de desplazamiento de un display a otro la línea de obstáculo.
U13
c lk p o

v e l(0:4 )

s tart
s tatele v
re s e t

selv

Envía la variable que controla la velocidad de salida de la entidad clkj, esta variable cambia a cada
transición de statelev.

More Related Content

What's hot

⭐⭐⭐⭐⭐ EJERCICIOS PROPUESTOS SD + MSA (2do Parcial)
⭐⭐⭐⭐⭐ EJERCICIOS PROPUESTOS SD + MSA (2do Parcial)⭐⭐⭐⭐⭐ EJERCICIOS PROPUESTOS SD + MSA (2do Parcial)
⭐⭐⭐⭐⭐ EJERCICIOS PROPUESTOS SD + MSA (2do Parcial)Victor Asanza
 
Conocimoento del teclado
Conocimoento del tecladoConocimoento del teclado
Conocimoento del tecladoarath324
 
Desensamble de una laptop
Desensamble de una laptopDesensamble de una laptop
Desensamble de una laptopLIBRADIN301
 
Mantenimiento hp
Mantenimiento hpMantenimiento hp
Mantenimiento hpYomaira100
 

What's hot (7)

⭐⭐⭐⭐⭐ EJERCICIOS PROPUESTOS SD + MSA (2do Parcial)
⭐⭐⭐⭐⭐ EJERCICIOS PROPUESTOS SD + MSA (2do Parcial)⭐⭐⭐⭐⭐ EJERCICIOS PROPUESTOS SD + MSA (2do Parcial)
⭐⭐⭐⭐⭐ EJERCICIOS PROPUESTOS SD + MSA (2do Parcial)
 
Conocimoento del teclado
Conocimoento del tecladoConocimoento del teclado
Conocimoento del teclado
 
Desensamble de una laptop.
Desensamble de una laptop.Desensamble de una laptop.
Desensamble de una laptop.
 
Jtag Para Fcis7000
Jtag Para Fcis7000Jtag Para Fcis7000
Jtag Para Fcis7000
 
Desensamble de una laptop
Desensamble de una laptopDesensamble de una laptop
Desensamble de una laptop
 
Mantenimiento hp
Mantenimiento hpMantenimiento hp
Mantenimiento hp
 
Contreras ejercicio1
Contreras ejercicio1Contreras ejercicio1
Contreras ejercicio1
 

Viewers also liked

Präsentation intelligente lüftungssteuerung öffentlich
Präsentation intelligente lüftungssteuerung öffentlichPräsentation intelligente lüftungssteuerung öffentlich
Präsentation intelligente lüftungssteuerung öffentlichklausguenther
 
Pi Suchmaschinen Marketing
Pi Suchmaschinen MarketingPi Suchmaschinen Marketing
Pi Suchmaschinen Marketingsnews
 
Los perros y las personas
Los perros y las personasLos perros y las personas
Los perros y las personasJorge Llosa
 
Epistemología de la Imagen
Epistemología de la ImagenEpistemología de la Imagen
Epistemología de la Imagenroilyto
 
Barrios de Dubai
Barrios de DubaiBarrios de Dubai
Barrios de DubaiJorge Llosa
 
DATABASE MIRRORING SQL SERVER 2014
DATABASE MIRRORING SQL SERVER 2014DATABASE MIRRORING SQL SERVER 2014
DATABASE MIRRORING SQL SERVER 2014waseem zeeshan
 
Windows fr sachsen
Windows fr sachsenWindows fr sachsen
Windows fr sachsenIndi Aner
 
5 Hausmittel gegen Fingernägel kauen
5 Hausmittel gegen Fingernägel kauen5 Hausmittel gegen Fingernägel kauen
5 Hausmittel gegen Fingernägel kauenMax Liebig
 
Messago messe frankfurt facts
Messago messe frankfurt factsMessago messe frankfurt facts
Messago messe frankfurt facts_Slava
 
Estrategia empresarial
Estrategia empresarialEstrategia empresarial
Estrategia empresarialJorge Llosa
 

Viewers also liked (20)

Präsentation intelligente lüftungssteuerung öffentlich
Präsentation intelligente lüftungssteuerung öffentlichPräsentation intelligente lüftungssteuerung öffentlich
Präsentation intelligente lüftungssteuerung öffentlich
 
Pi Suchmaschinen Marketing
Pi Suchmaschinen MarketingPi Suchmaschinen Marketing
Pi Suchmaschinen Marketing
 
Microfilmación
MicrofilmaciónMicrofilmación
Microfilmación
 
Das Perfekt
Das PerfektDas Perfekt
Das Perfekt
 
Tic san salvador
Tic san salvadorTic san salvador
Tic san salvador
 
Los perros y las personas
Los perros y las personasLos perros y las personas
Los perros y las personas
 
Mazamorra Juan Cruz Smith
Mazamorra Juan Cruz SmithMazamorra Juan Cruz Smith
Mazamorra Juan Cruz Smith
 
Epistemología de la Imagen
Epistemología de la ImagenEpistemología de la Imagen
Epistemología de la Imagen
 
Barrios de Dubai
Barrios de DubaiBarrios de Dubai
Barrios de Dubai
 
DATABASE MIRRORING SQL SERVER 2014
DATABASE MIRRORING SQL SERVER 2014DATABASE MIRRORING SQL SERVER 2014
DATABASE MIRRORING SQL SERVER 2014
 
Windows fr sachsen
Windows fr sachsenWindows fr sachsen
Windows fr sachsen
 
Prehistoria
PrehistoriaPrehistoria
Prehistoria
 
5 Hausmittel gegen Fingernägel kauen
5 Hausmittel gegen Fingernägel kauen5 Hausmittel gegen Fingernägel kauen
5 Hausmittel gegen Fingernägel kauen
 
Messago messe frankfurt facts
Messago messe frankfurt factsMessago messe frankfurt facts
Messago messe frankfurt facts
 
Edith piaf
Edith piafEdith piaf
Edith piaf
 
Gammas
GammasGammas
Gammas
 
El paraiso
El paraisoEl paraiso
El paraiso
 
Estrategia empresarial
Estrategia empresarialEstrategia empresarial
Estrategia empresarial
 
FANTASY
FANTASYFANTASY
FANTASY
 
LA LUNA
LA LUNALA LUNA
LA LUNA
 

Similar to Juego naves reporte proyecto final(VHDL)

LECTOR DE TEMPERATURA CON LM35 Y MULTIPLEXOR DE DISPLAY DE 7 SEGMENTOS CON AR...
LECTOR DE TEMPERATURA CON LM35 Y MULTIPLEXOR DE DISPLAY DE 7 SEGMENTOS CON AR...LECTOR DE TEMPERATURA CON LM35 Y MULTIPLEXOR DE DISPLAY DE 7 SEGMENTOS CON AR...
LECTOR DE TEMPERATURA CON LM35 Y MULTIPLEXOR DE DISPLAY DE 7 SEGMENTOS CON AR...Fernando Marcos Marcos
 
Decodificador de datos en vhld y usando Quartus II
Decodificador de datos en vhld y usando Quartus IIDecodificador de datos en vhld y usando Quartus II
Decodificador de datos en vhld y usando Quartus IIDiego Pezo
 
Sistemas electronicos digitales
Sistemas electronicos digitalesSistemas electronicos digitales
Sistemas electronicos digitalesFrancesc Perez
 
Contador de 0 a 7 flip flop tipo D
Contador de 0 a 7 flip flop tipo DContador de 0 a 7 flip flop tipo D
Contador de 0 a 7 flip flop tipo DCristian Rodriguez
 
Tutorial creación de modulo 60 en proteus
Tutorial creación de modulo 60 en proteusTutorial creación de modulo 60 en proteus
Tutorial creación de modulo 60 en proteusJoel Montalvan
 
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, LECCION RESUELTA 2do PARCIAL (2018 1er Término)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, LECCION RESUELTA 2do PARCIAL (2018 1er Término)⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, LECCION RESUELTA 2do PARCIAL (2018 1er Término)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, LECCION RESUELTA 2do PARCIAL (2018 1er Término)Victor Asanza
 
Electrónica digital: sistemas secuenciales maquina de estado
Electrónica digital: sistemas secuenciales maquina de estadoElectrónica digital: sistemas secuenciales maquina de estado
Electrónica digital: sistemas secuenciales maquina de estadoSANTIAGO PABLO ALBERTO
 
Divisor de frecuencia
Divisor de frecuenciaDivisor de frecuencia
Divisor de frecuenciaquiqueperu
 
Modelado de un filtro Butterwoth pasa bajos (pasivo) de cuarto orden.
Modelado de un filtro Butterwoth pasa bajos (pasivo) de cuarto orden.Modelado de un filtro Butterwoth pasa bajos (pasivo) de cuarto orden.
Modelado de un filtro Butterwoth pasa bajos (pasivo) de cuarto orden.Roy Chirinos Flores
 
Multiplexor Display de 7 Segmentos con Arduino UNO ATmega328P
Multiplexor Display de 7 Segmentos con Arduino UNO ATmega328PMultiplexor Display de 7 Segmentos con Arduino UNO ATmega328P
Multiplexor Display de 7 Segmentos con Arduino UNO ATmega328PFernando Marcos Marcos
 
Practica 2 manejo del código bcd en display de 7 segmentos.
Practica 2 manejo del código bcd en display de 7 segmentos.Practica 2 manejo del código bcd en display de 7 segmentos.
Practica 2 manejo del código bcd en display de 7 segmentos.JavierDeJesusCamacho1
 
ejercicios_3 ERROR EN ESTADO ESTACIONARIO (1).pdf
ejercicios_3 ERROR EN ESTADO ESTACIONARIO (1).pdfejercicios_3 ERROR EN ESTADO ESTACIONARIO (1).pdf
ejercicios_3 ERROR EN ESTADO ESTACIONARIO (1).pdfNercy Karen Limachi Quispe
 
Guía creación videojuego Pong con Scratch
Guía creación videojuego Pong con ScratchGuía creación videojuego Pong con Scratch
Guía creación videojuego Pong con ScratchNoelia García
 
Ud1 introducccion y compuertas logicas
Ud1 introducccion  y  compuertas logicas  Ud1 introducccion  y  compuertas logicas
Ud1 introducccion y compuertas logicas ACI MASER
 
Ejercicios_TeoriaOCW_zuz.pdf
Ejercicios_TeoriaOCW_zuz.pdfEjercicios_TeoriaOCW_zuz.pdf
Ejercicios_TeoriaOCW_zuz.pdfMelidaCedeo1
 
3.7 lcd grafico glcd
3.7 lcd grafico glcd3.7 lcd grafico glcd
3.7 lcd grafico glcdSNPP
 
Actividad no15 2do parcial
Actividad no15 2do parcialActividad no15 2do parcial
Actividad no15 2do parcialCarlos Mendoza
 

Similar to Juego naves reporte proyecto final(VHDL) (20)

LECTOR DE TEMPERATURA CON LM35 Y MULTIPLEXOR DE DISPLAY DE 7 SEGMENTOS CON AR...
LECTOR DE TEMPERATURA CON LM35 Y MULTIPLEXOR DE DISPLAY DE 7 SEGMENTOS CON AR...LECTOR DE TEMPERATURA CON LM35 Y MULTIPLEXOR DE DISPLAY DE 7 SEGMENTOS CON AR...
LECTOR DE TEMPERATURA CON LM35 Y MULTIPLEXOR DE DISPLAY DE 7 SEGMENTOS CON AR...
 
Decodificador de datos en vhld y usando Quartus II
Decodificador de datos en vhld y usando Quartus IIDecodificador de datos en vhld y usando Quartus II
Decodificador de datos en vhld y usando Quartus II
 
Sistemas electronicos digitales
Sistemas electronicos digitalesSistemas electronicos digitales
Sistemas electronicos digitales
 
Analisis para control pid
Analisis para control pidAnalisis para control pid
Analisis para control pid
 
Contador de 0 a 7 flip flop tipo D
Contador de 0 a 7 flip flop tipo DContador de 0 a 7 flip flop tipo D
Contador de 0 a 7 flip flop tipo D
 
Tutorial creación de modulo 60 en proteus
Tutorial creación de modulo 60 en proteusTutorial creación de modulo 60 en proteus
Tutorial creación de modulo 60 en proteus
 
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, LECCION RESUELTA 2do PARCIAL (2018 1er Término)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, LECCION RESUELTA 2do PARCIAL (2018 1er Término)⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, LECCION RESUELTA 2do PARCIAL (2018 1er Término)
⭐⭐⭐⭐⭐ SISTEMAS DIGITALES 1, LECCION RESUELTA 2do PARCIAL (2018 1er Término)
 
Electrónica digital: sistemas secuenciales maquina de estado
Electrónica digital: sistemas secuenciales maquina de estadoElectrónica digital: sistemas secuenciales maquina de estado
Electrónica digital: sistemas secuenciales maquina de estado
 
Divisor de frecuencia
Divisor de frecuenciaDivisor de frecuencia
Divisor de frecuencia
 
Modelado de un filtro Butterwoth pasa bajos (pasivo) de cuarto orden.
Modelado de un filtro Butterwoth pasa bajos (pasivo) de cuarto orden.Modelado de un filtro Butterwoth pasa bajos (pasivo) de cuarto orden.
Modelado de un filtro Butterwoth pasa bajos (pasivo) de cuarto orden.
 
Multiplexor Display de 7 Segmentos con Arduino UNO ATmega328P
Multiplexor Display de 7 Segmentos con Arduino UNO ATmega328PMultiplexor Display de 7 Segmentos con Arduino UNO ATmega328P
Multiplexor Display de 7 Segmentos con Arduino UNO ATmega328P
 
Practica 2 manejo del código bcd en display de 7 segmentos.
Practica 2 manejo del código bcd en display de 7 segmentos.Practica 2 manejo del código bcd en display de 7 segmentos.
Practica 2 manejo del código bcd en display de 7 segmentos.
 
ejercicios_3 ERROR EN ESTADO ESTACIONARIO (1).pdf
ejercicios_3 ERROR EN ESTADO ESTACIONARIO (1).pdfejercicios_3 ERROR EN ESTADO ESTACIONARIO (1).pdf
ejercicios_3 ERROR EN ESTADO ESTACIONARIO (1).pdf
 
Guía creación videojuego Pong con Scratch
Guía creación videojuego Pong con ScratchGuía creación videojuego Pong con Scratch
Guía creación videojuego Pong con Scratch
 
Ultima asignacion 20% circuito digital Samuel Movilio
Ultima asignacion 20% circuito digital Samuel MovilioUltima asignacion 20% circuito digital Samuel Movilio
Ultima asignacion 20% circuito digital Samuel Movilio
 
Ud1 introducccion y compuertas logicas
Ud1 introducccion  y  compuertas logicas  Ud1 introducccion  y  compuertas logicas
Ud1 introducccion y compuertas logicas
 
Unidad 3
Unidad 3Unidad 3
Unidad 3
 
Ejercicios_TeoriaOCW_zuz.pdf
Ejercicios_TeoriaOCW_zuz.pdfEjercicios_TeoriaOCW_zuz.pdf
Ejercicios_TeoriaOCW_zuz.pdf
 
3.7 lcd grafico glcd
3.7 lcd grafico glcd3.7 lcd grafico glcd
3.7 lcd grafico glcd
 
Actividad no15 2do parcial
Actividad no15 2do parcialActividad no15 2do parcial
Actividad no15 2do parcial
 

More from Miguel Angel Peña

Amplificador bjt emisor comun (voltaje negativo)
Amplificador bjt emisor comun (voltaje negativo)Amplificador bjt emisor comun (voltaje negativo)
Amplificador bjt emisor comun (voltaje negativo)Miguel Angel Peña
 
Diseño de amplificador emisor seguidor (colector comun) bjt y simulacion
Diseño de amplificador emisor seguidor (colector comun) bjt y simulacionDiseño de amplificador emisor seguidor (colector comun) bjt y simulacion
Diseño de amplificador emisor seguidor (colector comun) bjt y simulacionMiguel Angel Peña
 
TRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904 CALCULO DE PUNTO Q
TRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904  CALCULO DE PUNTO QTRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904  CALCULO DE PUNTO Q
TRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904 CALCULO DE PUNTO QMiguel Angel Peña
 
Unidad2 programas while , do while y for
Unidad2 programas while , do while  y forUnidad2 programas while , do while  y for
Unidad2 programas while , do while y forMiguel Angel Peña
 
controlar motor paso a paso por puerto serie
controlar motor paso a paso por puerto seriecontrolar motor paso a paso por puerto serie
controlar motor paso a paso por puerto serieMiguel Angel Peña
 
Teorema de máxima transferencia de potencia practica
Teorema de máxima transferencia de potencia practicaTeorema de máxima transferencia de potencia practica
Teorema de máxima transferencia de potencia practicaMiguel Angel Peña
 
Obtencion de la curva i v del scr(practica)
Obtencion de la curva i v del scr(practica)Obtencion de la curva i v del scr(practica)
Obtencion de la curva i v del scr(practica)Miguel Angel Peña
 
Grafica iv del diodo de silicio (practica)
Grafica iv del diodo de silicio (practica)Grafica iv del diodo de silicio (practica)
Grafica iv del diodo de silicio (practica)Miguel Angel Peña
 
Determinación de parámetros del jfet(practica)
Determinación de parámetros del jfet(practica)Determinación de parámetros del jfet(practica)
Determinación de parámetros del jfet(practica)Miguel Angel Peña
 

More from Miguel Angel Peña (20)

Reporte vhd11
Reporte vhd11Reporte vhd11
Reporte vhd11
 
Reporte vhdl8
Reporte vhdl8Reporte vhdl8
Reporte vhdl8
 
Reporte vhdl7
Reporte vhdl7Reporte vhdl7
Reporte vhdl7
 
Reporte vhd10
Reporte vhd10Reporte vhd10
Reporte vhd10
 
Reporte vhdl9
Reporte vhdl9Reporte vhdl9
Reporte vhdl9
 
Reporte vhdl3
Reporte vhdl3Reporte vhdl3
Reporte vhdl3
 
Reporte vhdl5
Reporte vhdl5Reporte vhdl5
Reporte vhdl5
 
Practica 2 vdhl
Practica 2 vdhlPractica 2 vdhl
Practica 2 vdhl
 
Numeros primos
Numeros primosNumeros primos
Numeros primos
 
Reporte vhdl6
Reporte vhdl6Reporte vhdl6
Reporte vhdl6
 
Amplificador bjt emisor comun (voltaje negativo)
Amplificador bjt emisor comun (voltaje negativo)Amplificador bjt emisor comun (voltaje negativo)
Amplificador bjt emisor comun (voltaje negativo)
 
Diseño de amplificador emisor seguidor (colector comun) bjt y simulacion
Diseño de amplificador emisor seguidor (colector comun) bjt y simulacionDiseño de amplificador emisor seguidor (colector comun) bjt y simulacion
Diseño de amplificador emisor seguidor (colector comun) bjt y simulacion
 
TRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904 CALCULO DE PUNTO Q
TRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904  CALCULO DE PUNTO QTRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904  CALCULO DE PUNTO Q
TRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904 CALCULO DE PUNTO Q
 
Funciones programacion
Funciones programacionFunciones programacion
Funciones programacion
 
Unidad2 programas while , do while y for
Unidad2 programas while , do while  y forUnidad2 programas while , do while  y for
Unidad2 programas while , do while y for
 
controlar motor paso a paso por puerto serie
controlar motor paso a paso por puerto seriecontrolar motor paso a paso por puerto serie
controlar motor paso a paso por puerto serie
 
Teorema de máxima transferencia de potencia practica
Teorema de máxima transferencia de potencia practicaTeorema de máxima transferencia de potencia practica
Teorema de máxima transferencia de potencia practica
 
Obtencion de la curva i v del scr(practica)
Obtencion de la curva i v del scr(practica)Obtencion de la curva i v del scr(practica)
Obtencion de la curva i v del scr(practica)
 
Grafica iv del diodo de silicio (practica)
Grafica iv del diodo de silicio (practica)Grafica iv del diodo de silicio (practica)
Grafica iv del diodo de silicio (practica)
 
Determinación de parámetros del jfet(practica)
Determinación de parámetros del jfet(practica)Determinación de parámetros del jfet(practica)
Determinación de parámetros del jfet(practica)
 

Recently uploaded

Estrategia de Enseñanza y Aprendizaje.pdf
Estrategia de Enseñanza y Aprendizaje.pdfEstrategia de Enseñanza y Aprendizaje.pdf
Estrategia de Enseñanza y Aprendizaje.pdfromanmillans
 
DETALLES EN EL DISEÑO DE INTERIOR
DETALLES EN EL DISEÑO DE INTERIORDETALLES EN EL DISEÑO DE INTERIOR
DETALLES EN EL DISEÑO DE INTERIORGonella
 
VOLUMEN 1 COLECCION PRODUCCION BOVINA . SERIE SANIDAD ANIMAL
VOLUMEN 1 COLECCION PRODUCCION BOVINA . SERIE SANIDAD ANIMALVOLUMEN 1 COLECCION PRODUCCION BOVINA . SERIE SANIDAD ANIMAL
VOLUMEN 1 COLECCION PRODUCCION BOVINA . SERIE SANIDAD ANIMALEDUCCUniversidadCatl
 
TUTORIA II - CIRCULO DORADO UNIVERSIDAD CESAR VALLEJO
TUTORIA II - CIRCULO DORADO UNIVERSIDAD CESAR VALLEJOTUTORIA II - CIRCULO DORADO UNIVERSIDAD CESAR VALLEJO
TUTORIA II - CIRCULO DORADO UNIVERSIDAD CESAR VALLEJOweislaco
 
Monitoreo a los coordinadores de las IIEE JEC_28.02.2024.vf.pptx
Monitoreo a los coordinadores de las IIEE JEC_28.02.2024.vf.pptxMonitoreo a los coordinadores de las IIEE JEC_28.02.2024.vf.pptx
Monitoreo a los coordinadores de las IIEE JEC_28.02.2024.vf.pptxJUANCARLOSAPARCANARE
 
5° SEM29 CRONOGRAMA PLANEACIÓN DOCENTE DARUKEL 23-24.pdf
5° SEM29 CRONOGRAMA PLANEACIÓN DOCENTE DARUKEL 23-24.pdf5° SEM29 CRONOGRAMA PLANEACIÓN DOCENTE DARUKEL 23-24.pdf
5° SEM29 CRONOGRAMA PLANEACIÓN DOCENTE DARUKEL 23-24.pdfOswaldoGonzalezCruz
 
cuadernillo de lectoescritura para niños de básica
cuadernillo de lectoescritura para niños de básicacuadernillo de lectoescritura para niños de básica
cuadernillo de lectoescritura para niños de básicaGianninaValeskaContr
 
FICHA DE MONITOREO Y ACOMPAÑAMIENTO 2024 MINEDU
FICHA DE MONITOREO Y ACOMPAÑAMIENTO  2024 MINEDUFICHA DE MONITOREO Y ACOMPAÑAMIENTO  2024 MINEDU
FICHA DE MONITOREO Y ACOMPAÑAMIENTO 2024 MINEDUgustavorojas179704
 
Tema 8.- Gestion de la imagen a traves de la comunicacion de crisis.pdf
Tema 8.- Gestion de la imagen a traves de la comunicacion de crisis.pdfTema 8.- Gestion de la imagen a traves de la comunicacion de crisis.pdf
Tema 8.- Gestion de la imagen a traves de la comunicacion de crisis.pdfDaniel Ángel Corral de la Mata, Ph.D.
 
La Función tecnológica del tutor.pptx
La  Función  tecnológica  del tutor.pptxLa  Función  tecnológica  del tutor.pptx
La Función tecnológica del tutor.pptxJunkotantik
 
Fundamentos y Principios de Psicopedagogía..pdf
Fundamentos y Principios de Psicopedagogía..pdfFundamentos y Principios de Psicopedagogía..pdf
Fundamentos y Principios de Psicopedagogía..pdfsamyarrocha1
 
Día de la Madre Tierra-1.pdf día mundial
Día de la Madre Tierra-1.pdf día mundialDía de la Madre Tierra-1.pdf día mundial
Día de la Madre Tierra-1.pdf día mundialpatriciaines1993
 
Contextualización y aproximación al objeto de estudio de investigación cualit...
Contextualización y aproximación al objeto de estudio de investigación cualit...Contextualización y aproximación al objeto de estudio de investigación cualit...
Contextualización y aproximación al objeto de estudio de investigación cualit...Angélica Soledad Vega Ramírez
 
Técnicas de grabado y estampación : procesos y materiales
Técnicas de grabado y estampación : procesos y materialesTécnicas de grabado y estampación : procesos y materiales
Técnicas de grabado y estampación : procesos y materialesRaquel Martín Contreras
 

Recently uploaded (20)

Estrategia de Enseñanza y Aprendizaje.pdf
Estrategia de Enseñanza y Aprendizaje.pdfEstrategia de Enseñanza y Aprendizaje.pdf
Estrategia de Enseñanza y Aprendizaje.pdf
 
Sesión La luz brilla en la oscuridad.pdf
Sesión  La luz brilla en la oscuridad.pdfSesión  La luz brilla en la oscuridad.pdf
Sesión La luz brilla en la oscuridad.pdf
 
DETALLES EN EL DISEÑO DE INTERIOR
DETALLES EN EL DISEÑO DE INTERIORDETALLES EN EL DISEÑO DE INTERIOR
DETALLES EN EL DISEÑO DE INTERIOR
 
VOLUMEN 1 COLECCION PRODUCCION BOVINA . SERIE SANIDAD ANIMAL
VOLUMEN 1 COLECCION PRODUCCION BOVINA . SERIE SANIDAD ANIMALVOLUMEN 1 COLECCION PRODUCCION BOVINA . SERIE SANIDAD ANIMAL
VOLUMEN 1 COLECCION PRODUCCION BOVINA . SERIE SANIDAD ANIMAL
 
Earth Day Everyday 2024 54th anniversary
Earth Day Everyday 2024 54th anniversaryEarth Day Everyday 2024 54th anniversary
Earth Day Everyday 2024 54th anniversary
 
DIA INTERNACIONAL DAS FLORESTAS .
DIA INTERNACIONAL DAS FLORESTAS         .DIA INTERNACIONAL DAS FLORESTAS         .
DIA INTERNACIONAL DAS FLORESTAS .
 
TUTORIA II - CIRCULO DORADO UNIVERSIDAD CESAR VALLEJO
TUTORIA II - CIRCULO DORADO UNIVERSIDAD CESAR VALLEJOTUTORIA II - CIRCULO DORADO UNIVERSIDAD CESAR VALLEJO
TUTORIA II - CIRCULO DORADO UNIVERSIDAD CESAR VALLEJO
 
La luz brilla en la oscuridad. Necesitamos luz
La luz brilla en la oscuridad. Necesitamos luzLa luz brilla en la oscuridad. Necesitamos luz
La luz brilla en la oscuridad. Necesitamos luz
 
Monitoreo a los coordinadores de las IIEE JEC_28.02.2024.vf.pptx
Monitoreo a los coordinadores de las IIEE JEC_28.02.2024.vf.pptxMonitoreo a los coordinadores de las IIEE JEC_28.02.2024.vf.pptx
Monitoreo a los coordinadores de las IIEE JEC_28.02.2024.vf.pptx
 
5° SEM29 CRONOGRAMA PLANEACIÓN DOCENTE DARUKEL 23-24.pdf
5° SEM29 CRONOGRAMA PLANEACIÓN DOCENTE DARUKEL 23-24.pdf5° SEM29 CRONOGRAMA PLANEACIÓN DOCENTE DARUKEL 23-24.pdf
5° SEM29 CRONOGRAMA PLANEACIÓN DOCENTE DARUKEL 23-24.pdf
 
cuadernillo de lectoescritura para niños de básica
cuadernillo de lectoescritura para niños de básicacuadernillo de lectoescritura para niños de básica
cuadernillo de lectoescritura para niños de básica
 
FICHA DE MONITOREO Y ACOMPAÑAMIENTO 2024 MINEDU
FICHA DE MONITOREO Y ACOMPAÑAMIENTO  2024 MINEDUFICHA DE MONITOREO Y ACOMPAÑAMIENTO  2024 MINEDU
FICHA DE MONITOREO Y ACOMPAÑAMIENTO 2024 MINEDU
 
Tema 8.- Gestion de la imagen a traves de la comunicacion de crisis.pdf
Tema 8.- Gestion de la imagen a traves de la comunicacion de crisis.pdfTema 8.- Gestion de la imagen a traves de la comunicacion de crisis.pdf
Tema 8.- Gestion de la imagen a traves de la comunicacion de crisis.pdf
 
La Función tecnológica del tutor.pptx
La  Función  tecnológica  del tutor.pptxLa  Función  tecnológica  del tutor.pptx
La Función tecnológica del tutor.pptx
 
Tema 7.- E-COMMERCE SISTEMAS DE INFORMACION.pdf
Tema 7.- E-COMMERCE SISTEMAS DE INFORMACION.pdfTema 7.- E-COMMERCE SISTEMAS DE INFORMACION.pdf
Tema 7.- E-COMMERCE SISTEMAS DE INFORMACION.pdf
 
Fundamentos y Principios de Psicopedagogía..pdf
Fundamentos y Principios de Psicopedagogía..pdfFundamentos y Principios de Psicopedagogía..pdf
Fundamentos y Principios de Psicopedagogía..pdf
 
Día de la Madre Tierra-1.pdf día mundial
Día de la Madre Tierra-1.pdf día mundialDía de la Madre Tierra-1.pdf día mundial
Día de la Madre Tierra-1.pdf día mundial
 
Aedes aegypti + Intro to Coquies EE.pptx
Aedes aegypti + Intro to Coquies EE.pptxAedes aegypti + Intro to Coquies EE.pptx
Aedes aegypti + Intro to Coquies EE.pptx
 
Contextualización y aproximación al objeto de estudio de investigación cualit...
Contextualización y aproximación al objeto de estudio de investigación cualit...Contextualización y aproximación al objeto de estudio de investigación cualit...
Contextualización y aproximación al objeto de estudio de investigación cualit...
 
Técnicas de grabado y estampación : procesos y materiales
Técnicas de grabado y estampación : procesos y materialesTécnicas de grabado y estampación : procesos y materiales
Técnicas de grabado y estampación : procesos y materiales
 

Juego naves reporte proyecto final(VHDL)

  • 1. SEP INSTITUTO DGEST TECNOLÓGICO SNEST DE MATAMOROS DEPARTAMENTO DE INGENIERÍA ELÉCTRICA Y ELECTRÓNICA Proyecto: “juego naves” Diseño Digital con VHDL Equipo: Alumno(s): Núm. de control: Mario Arturo Cruz Colunga 11260077 Miguel Angel Fierros Peña 11260081 Hermenegildo Martínez de la Cruz Jorge Alejandro Reyes Torres H. MATAMOROS, TAM. 11260095 11260108
  • 2. Objetivo: Realizar un juego con los display de 7 segmentos simulando naves que deben esquivar obstáculo que llegan a él en forma aleatoria. Introducción: Realizar un juego empleando los 4 display que proporciona el basys2 en el que se mostrar una sucesión de líneas las cuales deben ser esquivadas por el jugador, representando la posición del jugador en el display de lado contrario de donde empiezan las secuencias de líneas. Material: Kit basys2 Computadora
  • 3. Desarrollo: Creación de archivo bde usado como top. VCCCCCCCC V V V clk U3 clkpo m c lk rst bus42(1) bus42(2) bus42(4) bus42(5) re s e t c lk o ut posicion jugador U2 p c e n c lk p o I I dispdat U1 bus42(6) O bus42(3) O p d ow n up p up I bus42(0) U8 an0 d 1 (6:0 ) an1 d 2 (6:0 ) O s e v enS eg m e nt(6:0 ) rs t down c lk an2 d 3 (6:0 ) d2 d3 an3 p o s (0:6 ) e nd part sevenSegment(6:0) an0 an1 an2 an3 d p o s(6 :0 ) p up p ce n p d ow n checkpo start re s e t U7 re s e t s tart finle v e l d 1 (0:6 ) c lk j U10 d 2 (0:6 ) s tate lev e l m c lk d 3 (0:6 ) e nd j U11 contlevel d 0 (0:6 ) s av ar(0 :1 ) m c lk c lk o ut e ntvar(0:1 ) s o lv ar re s e t re s e t juego p o c lk v e l(4:0 ) s e nd v ar clkj U9 c lk p o v e l(0:4 ) s tart s tatele v selv re s e t En el bde top se realizan alguna conexiones como lo son inversores y conexiones para colocar en un ‘1’ a las salidas de display de posición del juagor ya que no se ocupan.
  • 4. Descripción de las funciones de cada entidad. U12 m c lk c lk o ut re s e t clkpo Es un divisor de reloj encargado de proporcionar un reloj para detectar los pulsos generados por los pushbutton del basys2 y solo detectar un cambio. Debido al uso de 2 entradas para controlar 3 posiciones. U12 c lk p o p ce n down p d ow n up p up posicion jugador Se encarga de enviar la señal de encendido de uno de los segmentos del display, se enciende el segmento de acuerdo a la posición que se seleccione con los pushbutton an3 an2 an1 an0 U12 U2 clk rst c lk U1 c lk O ut c lk s e v enS eg m e nt(6:0 ) an1 rs t an0 an2 d 1 (6:0 ) an1 d 2 (6:0 ) an2 d 3 (6:0 ) an3 an2 GND an0 an3 s e v enO ut(6:0 ) sevenSegment(6:0) an3 controlador de anodos d1(6:0) d2(6:0) d3(6:0) dpos(6:0) c lk an0 e ntrad a an1 rs t clkdiv U9 s e v enO ne (6:0 ) s e v e nT e n(6 :0 ) s e v enO ne2 (6:0 ) d p o s(6 :0 ) s e v enT e n2 (6:0 ) sevenSelect dispdat Esta entidad esta forma por otras entidades para ahorrar espacio en el bde top. Se encarga de enviar los datos en sus entradas a su correspondiente salida en uno de los 4.
  • 5. U12 p o s (0:6 ) e nd part p up p ce n p d ow n re s e t checkpo Proporciona la decisión de cuando se pierde la partida, comparando la posición del juagor con el estado en que se encontraba la línea en el display de obstáculos. U12 re s e t d 3 (0:6 ) e nd j d 2 (0:6 ) s tate lev e l d 1 (0:6 ) c lk j d 0 (0:6 ) e ntvar(0:1 ) s o lv ar juego Esta entidad es la más importante pues que envía los datos a mostrar en los display de obstáculos, la cual contiene una memoria con las diferentes posiciones en que puede llegar a estar el obstáculo, la entidad decide que dato enviar de acuerdo a una variable de entrada. Y de si se encuentra inicia la partida mediante la entrada statelevel. U12 m c lk finle v e l s tart s av ar(0 :1 ) re s e t p o c lk s e nd v ar contlevel Registra el inicio y fin de una partida enviando un 1 por finlevel si acabo un nivel o cero si se encuentra jugando. Al recibir una señal a través de sendvar envía un numero por savar la cual ocupa entidad juego para selecciona un dato.
  • 6. U12 m c lk c lk o ut re s e t v e l(4:0 ) clkj Es un divisor de reloj controlado por una variable, proporciona el sincronismo a entidad juego para que cambie la velocidad de desplazamiento de un display a otro la línea de obstáculo. U13 c lk p o v e l(0:4 ) s tart s tatele v re s e t selv Envía la variable que controla la velocidad de salida de la entidad clkj, esta variable cambia a cada transición de statelev.