SlideShare a Scribd company logo
1 of 6
Download to read offline
AppLIcAtIon note




                                                        ImprovIng AdvAnced LIthogrAphy
                                                        process defectIvIty wIth A hIghLy
                                                    retentIve 5 nm AsymmetrIc Upe fILter
                                                                        Authors: Aiwen Wu and Jennifer Braggin




Introduction                                            Design of 5 nm Asymmetric
Semiconductors require ever increasing purity           UPE Filter
in fluids that come in contact with the devices to
                                                        Various polymer materials could be used to manu­
reduce the defectivity during the manufacturing
                                                        facture particle filters for photochemical filtration,
process. Defect control is extremely critical and
                                                        such as UPE, PTFE and polyamide. Traditionally,
continues to be one of the biggest challenges in
                                                        all these material­based filters have symmetric
lithography processes for integrated device manu­
                                                        membranes, where pore size is constant across
facturers (IDMs) as the critical dimension (CD)         the membrane thickness. In contrast, Entegris
size shrinks.1 Any defect could result in an unusable   developed a new asymmetric UPE membrane using
device, resulting in a financial loss for the IDMs.     its proprietary co­extrusion technology, where
Particularly in 193 nm lithography processes,           pore size changes across the membrane thickness.
there have been widespread occurrences of various       The upstream surface of the asymmetric membrane
defects in the coated films, and many factors           has a larger pore size than on the downstream.
could play a role in defect formation. For example,     This type of pore structure provides the improved
photoresist and BARC coating defects could be           retention efficiency and capacity of the micro­
affected by the dispense process, cleanliness of        porous membrane without sacrificing the flow.
the materials, and filtration process.                  This optimized asymmetric structure is specifi­
Particle removal filters are used in almost every       cally designed to meet the unique requirements
process step where a liquid comes in contact with       of advanced lithography processes below 65 nm
a wafer. Implementation of polymer membrane­            technology node, where pressure drop might be
based microfiltration in the photochemical              a limiting factor in decreasing retention rating.
manufacturing process effectively improves the          It allows for a wider window of operation on
cleanliness of the materials. Furthermore, in           photochemical dispense pumps. Figure 1 shows
                                                        the scanning electron microscope (SEM) image of
today’s state­of­the­art semiconductor fabs, an
                                                        the cross­section of asymmetric UPE membrane.
extra filtration step at the point of dispense on
the coater module is adopted to further minimize
coating defects by providing particle/bubble­free
photochemical dispense on the wafer.
With the continuous demands for defect reduction
and high productivity, Entegris has developed a
new, highly retentive 5 nm rated asymmetric ultra­
high molecular weight polyethylene (UPE) filter
to address these demands particularly in advanced
lithography processes. The purpose of this applica­
tion note is to provide data to show the performance
of 5 nm asymmetric UPE filters and its effectiveness
of reducing the wafer defects for various litho­
graphic processes.
                                                           Open layer           Intermediate layer        Highly retentive layer
                                                           For increased flow   High loading capacity     Hard particle retention
                                                                                Increased gel retention   5 nm removal
                                                                                Increased impurity
                                                                                residence time

                                                        Figure 1. Asymmetric membrane cross-section



entegrIs, Inc.                                                                                                                      1
ImprovIng AdvAnced LIthogrAphy process defectIvIty




Improved Particle Retention                                well controlled. The experimental data shows
                                                           that using smaller 26 nm fluorescent particles to
Bubble point (BP) pressure is a common parameter           challenge the filters results in more differentiation
to characterize microporous membranes and is               among the filters. Figure 2 provides a correlation
defined as the minimum pressure required to begin          between particle removal efficiency and bubble
displacing wetting liquid from the pores of a wetted       point for Entegris UPE membranes. As membrane
membrane. This pressure is related to the largest          pore size shrinks (BP increases), particle reten­
size pore in that membrane and is often determined         tion increases.
by visual detection of “bubble” as applied differen­                                       Retention vs. Bubble Point
tial pressure is increased across the membrane.
The equation for bubble point shows an inversely                                                                     Retention
                                                                                                                            BP




                                                                                                                                                Membrane Bubble Point
proportional relationship between the pore




                                                          Membrane Retention
diameter and the bubble point value. 2




                                                                                  UPE      UPE       UPE        UPE         UPE         UPE
                                                                                 5 nm     10 nm     10 nm      20 nm       30 nm       50 nm
                                                                                 Asym-    Asym-
                                                                                 metric   metric
                                                          Figure 2. Correlation between 26 nm PSL bead retention for
                                                          UPE membranes

                                                           While 5 nm asymmetric UPE membrane greatly
                                                           improves particle retention performance compared
                                                           to 10 nm rating UPE, it doesn’t sacrifice the flow
Filters with 20 nm and 10 nm retention ratings have        performance. With pore size greatly shrinking and
become the standard for 193 nm photoresist filtra­         thickness increasing, the pressure drop of 5 nm
tion. These filters were tested for particle retention     asymmetric UPE membrane does not significantly
with monodispersed 33 nm polystyrene latex (PSL)           increase. Figure 3 presents the flow comparison of
beads and optical particle counters (OPC) using            Entegris point­of­use (POU) photochemical filter
a modified SEMATECH® test method.3 However,                Impact® 2 V2.
one of the issues with using an OPC to measure PSL                              25
particles down to 33 nm in size is that it is difficult
to differentiate very tight filters from one another.                           20
Recently a new method has been developed by
                                                          Pressure Drop (PSI)




Entegris to measure particle removal efficiencies                               15          Impact 2 V2 5 nm asymmetric
for sub­30 nm pore size rated filters using fluo­
rescence spectroscopy. In this method, a filter is                              10
challenged with fluorescent particles, which are                                                                                Impact 2 V2 20 nm
then counted by measuring fluorescent signals of
                                                                                 5
upstream and downstream solutions. Retention can                                                            Impact 2 V2 10 nm
be calculated by comparing the fluorescent signals
                                                                                 0
of the two solutions. There are several advantages                                   0         5              10               15                   20
to the fluorescence method compared to traditional                                              Flow Rate for 1 cp Fluid (cc/sec)
OPC techniques, including high sensitivity, easy          Figure 3. Flow performance comparison of point-of-use filters
spectral measurement and ability to detect fluores­
cent PSL particles whose size has been relatively




entegrIs, Inc.                                                                                                                                        2
ImprovIng AdvAnced LIthogrAphy process defectIvIty




Wafer Defect Reduction of                                        of­use filtration methods on microbridging
                                                                 defectivity.6 This study focused on the ability of
5 nm Asymmetric UPE Filter                                       point­of­use filtration on the lithography track to
                                                                 reduce the level of microbridging defectivity in a
The decrease of critical line widths is requiring                45 nm line/space pattern created through immer­
the use of tighter filtration for critical photochem­            sion lithography. A design of experiment method­
icals. Filters rated at 10 nm and 20 nm filtration               ology was used to determine the effect of filter
have been implemented in both the photochemical                  retention rating, filtration rate and controlled
manufacturing process and in the point­of­use                    filtration pressure on microbridging defectivity.
spin­coating process in track systems, and have
been shown to be effective in reducing defects.4, 5              In the experiment, wafers were coated and
However, the implementation of finer filtration                  exposed on the Sokudo™ RF 3Si/ASML™
raises concerns as high differential pressure across             XT:1900Gi cluster. Entegris’ IntelliGen® Mini
the filter causes possible outgassing of the photo­              dispense system was used with Entegris’ Impact
chemical and finer pore size approaches the size                 Mini point­of­use UPE filters with various pore
of large molecular weight polymers in the photo­                 sizes. IMEC’s Defect 45 mask was used for the expo­
chemical. These conditions can cause polymer                     sures. The Defect 45 mask is designed to print nine
shearing, micro bubble formation and gel particle                uniform sub­dies of 45 nm lines with 90 nm pitch.
formation. Filter materials with finer pore size may             The resist stack for this experiment consisted of 95
have affinity with certain species in the photo­                 nm BARC and 105 nm TOK TARF Pi6 001ME resist
chemical formulations, causing photochemical                     which intentionally contaminated high levels of
changes to occur before it reaches the wafer. To                 microbridging defects. A post­soak step was added
address all these concerns and demonstrate the                   after the exposure, and development was done
benefits of highly retentive 5 nm asymmetric UPE                 with the slit­scan nozzle. In a particular test, an
filter, a series of evaluations have been conducted              intentionally contaminated resist with 10 times
under actual production conditions at a number of                the microbridging levels of the process of record
different customer sites.                                        (POR) resist chemistry was used to determine the
                                                                 effect of filtration parameters on defect density.
Case 1: Reducing Microbridging Defects for                       Figure 4 shows the best results for combination of
193 nm Top-coatless Immersion Photoresist                        filtration rate and pressure applied to the filtrate
Microbridging was recognized as one of the critical              for 5 nm asymmetric UPE and 20 nm symmetric
patterning defects that were frequently observed in              UPE filters. Further analysis of the experimental
193 nm lithographic process in different formula­                data suggests that filter pore size is statistically
tions from different manufacturers. The problem                  significant for reducing microbridging defects. The
becomes remarkable particularly in dense line/                   data also indicates that different filter designs will
space patterns and seriously damages the pro­                    require different, optimized filtration rates and
duction yield. Entegris has engaged with the                     applied pressures to further reduce microbridging
Interuniversity Microelectronics Center (IMEC)                   defect density.
in Leuven, Belgium, to study the effects of point­

                         Normalized Defect Data Defective Chemistry Sample
120%
            No filter (Medium rate/           20 nm (Low rate/              5 nm (High rate/
            Medium pressure)                  High pressure)                High pressure)
100%

 80%

 60%

 40%

 20%

    0
             Total                Irregular      Embedded        Burst Bubble             Line
         Microbridging            Bridging      Contaminant         Defect               Nodule

Figure 4. Results of 5 nm asymmetric UPE evaluation under best conditions at IMEC


entegrIs, Inc.                                                                                                         3
ImprovIng AdvAnced LIthogrAphy process defectIvIty




   Case 2: Reducing Overall Coating Defects for                                   Case 3: Reducing Residue-type Defects for
   193 nm BARC Process                                                            193 nm Top-coatless Immersion Photoresist
   The implementation of BARC processes in 193 nm                                 A study had been started to reduce residue­type
   dry and immersion lithography has been accom­                                  defects for the latest 193 nm top­coatless immersion
   panied by defect reduction challenges on fine                                  resist. To reduce this residue­type defect, 10 nm
   patterns. In a joint study with AZ Electronic                                  asymmetric and 5 nm asymmetric UPE filters were
   Materials, the efficiency and performance of                                   compared. The tested resist was well controlled for
   Entegris’ Impact 2 UPE filters with various pore                               elimination of microbridging­type defect. The focus
   sizes in reducing BARC process related defects                                 was on eliminating residue­type defects. Table 1
   was examined. AZ® ArF­1C5D BARC coating was                                    is the brief summary of testing condition. The eval­
   employed in this study. The testing was accom­                                 uation results in Figure 6 showed that the 5 nm
   plished using an Entegris IntelliGen Mini dispense                             asymmetric UPE filter reduced the residue­type
   pump integrated in the coating module of a                                     defects by over 70% compared to the 10 nm
   TEL® CLEAN TRACK ACT 8. BARC was coated on                                     asymmetric UPE filter.
   an unprimed silicon wafer. The dispense recipe and
   coat recipe were kept constant as the filter pore                              tAbLe 1. brIef sUmmAry of testIng condItIon
   size changed. Spin speed was adjusted such that                                Substrate                               200 mm bare Si with BARC
   37 nm BARC film thickness was achieved. The
   softbake condition was 200°C for 60 seconds.                                   Top coat                                None
   Three ACI wafers were coated and the wafers were                               Mask                                    75 nm L/S
   measured for defects. A KLA­Tencor® 2360 High­                                 Exposure                                Dry ArF scanner
   Resolution Imaging Inspection System was used
   with a 0.20 µm pixel size under bright field imaging                           Dispense pump                           Single stage
   mode to count defects on the coated wafers. Then                               Inspection                              KLA 2360
   the defect review was carried out using an Applied
   Materials® SEMVision™ cX defect review tool.                                                100
                                                                                                                            10 nm UPE
   Figure 5 shows the total defect results for the                                                                          5 nm UPE
                                                                             Normalized Defect Count (%)




                                                                                                           80
   tested filters. In the first run, 3 point­of­use
   UPE filters with various pore sizes were tested.
                                                                                                           60
   Compared to a 20 nm rating filter, smaller pore size
   filters significantly improved the BARC defectivity.
                                                                                                           40
   Furthermore, 5 nm asymmetric filter performed
   the best, with the lowest number of total defects.
                                                                                                           20
   Then a confirmation run was conducted using
   20 nm and 5 nm asymmetric filters to confirm the
   findings in the first round of testing. The defect                                                       0
                                                                                                                Fall on                     Residue
   results were very similar to the first round of                                Figure 6. Effect of filter pore size on residue-type defect
   testing as shown in Figure 6. The 5 nm asymmetric                              reduction in ArF immersion resist
   filter showed much lower defect counts than the
   20 nm filter.

                                                    First run
                                                    Confirmation run
Total Defects




                Impact 2 V2 20 nm   Impact 2 V2 10 nm     Impact 2 V2 5 nm
                   symmetric           symmetric            asymmetric
    Figure 5. Total defectivity comparison by filter



   entegrIs, Inc.                                                                                                                                     4
ImprovIng AdvAnced LIthogrAphy process defectIvIty




  Filter Priming                                                                        0.2 µm. This OPC was installed on the outlet line
                                                                                        of the dispense system, monitoring the entire
  The most noticeable attribute of photochemical                                        downstream of the testing filters. The effluent was
  POU filters is the ability to purge air and contami­                                  recycled to the reservoir. The filters were primed
  nants introduced during system maintenance or                                         with the solvent ethyl lactate and the dispense
  filter changeout. Customers continue to have issues                                   recipe was continually performed until particle
  with filter priming and associated tool downtime.                                     counts leveled off. Since each new testing filter
  As the finer feature size of advanced lithography                                     was installed after the particle counts reached very
  processes requires even finer filtration for photo­                                   low background with a filter in place, the particle
  chemicals, there is more concern on the finer                                         levels shown by the counter indicated the level
  filter priming speed. The unique design of 5 nm                                       of microbubbles in the dispense line during the
  asymmetric UPE membrane ensures a high level of                                       testing. While optical particle counters are not
  retention to reduce advance process defects while                                     designed to count bubbles, the results can be used
  also maintaining the ability of fast priming during                                   in a semi­quantitative manner to see differences
  filter changeout.                                                                     in filter performance.

  Laboratory experiments were conducted to examine                                      The result of filter priming testing is shown in
  the priming performance of Impact 2 V2 5 nm                                           Figure 7. The priming speed of Impact 2 V2 5 nm
  asymmetric UPE filter and compare to a standard                                       asymmetric UPE filters is slightly better or equal
  Impact 2 V2 10 nm symmetric UPE filter on an                                          to a standard Impact 2 V2 10 nm symmetric UPE
  Entegris two­stage­technology IntelliGen Mini                                         filter. This result shows that when the membrane
  dispense pump. A recirculating chemical test                                          structure is optimized correctly, the 5 nm asym­
  stand was assembled using a chemical reservoir,                                       metric filter can maintain the fast priming speed.
  a dispense pump, a filter manifold, a test filter                                     As a result, the chemical waste and dispense­point
  and an OPC. The OPC is a PMS LiQuilaz® SO2,                                           downtime are reduced.
  capable of detecting and sizing particles down to

                                Bubble Cleanup Testing on IntelliGen Mini Pump with Ethyl Lactate
                                (Dispense Volume = 5 mL; Dispense Rate = 1 mL/sec; Filtration Rate = 1 mL/sec
                       14


                       12                                              Impact 2 V2 10 nm symmetric UPE
                                                                       Impact 2 V2 5 nm asymmetric UPE #1
                                                                       Impact 2 V2 5 nm aymmetric UPE #2
                       10
Particles/mL ≥0.2 µm




                        8


                        6


                        4


                        2


                        0
                            0     50       100       150       200        250        300         350        400   450
                                                               Dispense Cycle
Figure 7. Bubble flushup of Impact 2 V2 5 nm asymmetric UPE filter




  entegrIs, Inc.                                                                                                                           5
ImprovIng AdvAnced LIthogrAphy process defectIvIty




Conclusion                                                                          References
Asymmetric UPE technology is a new applica­                                         1. Tamada, M. Sanada, “Mechanism Study of
tion­specific filter family designed to optimize                                       Defect Improvement by Short Develop Time
performance in critical photochemical filtration                                       Process,” Proc. SPIE, Vol. 5753, 996 –1007, 2005.
processes requiring a combination of high flow                                      2. Porter, Mark C., “Handbook of Industrial
and extreme retention. The 5 nm asymmetric UPE                                         Membrane Technology.”
filter has been shown to provide superior retention                                 3. Lee, J.K. et al., “Latex Sphere Retention by
that can lead to reduction on microbridging defects,                                   Microporous Membranes in Liquid Filtration,”
residue defects and overall defects in advanced                                        Journal of the IES, January/February 1993,
lithography processes. There is no evidence that                                       26 – 36.
finer filtration down to a 5 nm rating changes the
integrity of advance photolithography chemistries                                   4. Amari, M.; Wu, A.; Yang, H. J.; Chen, L.;
resulting in increased defectivity. To enable                                          Bowling T. and Watt, M., “Effect of Filter
further reduction in chip line widths with mini­                                       Surface Chemistry and Morphology on
mized defects, the finer filtration is required                                        193 nm Lithography Applications,”
at both photochemical manufacturing and                                                SEMICON Korea, 2005.
point­of­use locations.                                                             5. Wu, A. and Chow, W., “Defect Reduction in
                                                                                       Advanced Lithography Processes Using a New
                                                                                       Dual Functionality Filter,” International
                                                                                       Symposium on Semiconductor Manufacturing,
                                                                                       Tokyo, Japan, October 27– 29, 2008.
                                                                                    6. Braggin, J.; Gronheid, R.; Cheng S.; Van Den
                                                                                       Heuvel, D.; Bernard, S.; Foubert, P. and
                                                                                       Rosslee, C., “Analysis of the Effect of Point­of­
                                                                                       Use Filtration on Microbridging Defectivity,”
                                                                                       SPIE 2009.




Entegris®, Impact® and IntelliGen® are registered trademarks of Entegris, Inc.
SEMATECH® is a registered trademark of Sematech, Inc.
Sokudo™ is a trademark of Sokudo, USA, L.L.C.
ASML™ is a trademark of ASML Holding N.V.
AZ® is a registered trademark of AZ Electronic Materials.
TEL® is a registered trademark of Tokyo Electron Kabushiki Kaisha.
KLA­Tencor® is a registered trademark of KLA­Tencor Corporation.
SEMVision™ is a trademark of Applied Materials, Inc.
LiQuilaz® is a registered trademark of Particle Measuring Systems.

entegrIs, Inc.
Corporate Headquarters | 3500 Lyman Boulevard | Chaska, Minnesota 55318 USA
Customer Service Tel. +1 952-556-4181 | Customer Service Fax +1 952-556-8022
www.entegris.com

©2009 Entegris, Inc.    All rights reserved   Printed in USA    4423-5836ENT-0909

More Related Content

What's hot (20)

NLC
NLCNLC
NLC
 
Pharmacosomes
Pharmacosomes Pharmacosomes
Pharmacosomes
 
Rheology pdf
Rheology pdfRheology pdf
Rheology pdf
 
Theory and factors affecting filtration,discuss different types of filters us...
Theory and factors affecting filtration,discuss different types of filters us...Theory and factors affecting filtration,discuss different types of filters us...
Theory and factors affecting filtration,discuss different types of filters us...
 
High pressure homogenization
High pressure homogenizationHigh pressure homogenization
High pressure homogenization
 
Ocular drug delivery system
Ocular drug delivery systemOcular drug delivery system
Ocular drug delivery system
 
Microemulsion
MicroemulsionMicroemulsion
Microemulsion
 
Filtration smruti ppt
Filtration smruti pptFiltration smruti ppt
Filtration smruti ppt
 
Gas Chromatography (GC)
Gas Chromatography (GC)Gas Chromatography (GC)
Gas Chromatography (GC)
 
Micromeritics
MicromeriticsMicromeritics
Micromeritics
 
MOLECULAR DISTILLATION.pptx
MOLECULAR DISTILLATION.pptxMOLECULAR DISTILLATION.pptx
MOLECULAR DISTILLATION.pptx
 
Particle size distribution
Particle size distributionParticle size distribution
Particle size distribution
 
Counter current extraction
Counter current extractionCounter current extraction
Counter current extraction
 
Size reduction
Size reduction Size reduction
Size reduction
 
Batch sedimentation, clarifiers
Batch sedimentation, clarifiersBatch sedimentation, clarifiers
Batch sedimentation, clarifiers
 
Granulation and its novel techniques
Granulation and its novel techniques Granulation and its novel techniques
Granulation and its novel techniques
 
SURFACTANTS
SURFACTANTSSURFACTANTS
SURFACTANTS
 
Filtration
FiltrationFiltration
Filtration
 
Fluidization and fluidized bed
Fluidization and fluidized bedFluidization and fluidized bed
Fluidization and fluidized bed
 
Filtration
FiltrationFiltration
Filtration
 

Viewers also liked

Mc Insurance Industry White Paper Final
Mc Insurance Industry White Paper FinalMc Insurance Industry White Paper Final
Mc Insurance Industry White Paper Finalturnesim
 
Strukture Podataka Zadatak 1
Strukture Podataka    Zadatak 1Strukture Podataka    Zadatak 1
Strukture Podataka Zadatak 1guestc7ba2ab
 
Dspam Ethics 1nathan2[1]4 30 07
Dspam Ethics 1nathan2[1]4 30 07Dspam Ethics 1nathan2[1]4 30 07
Dspam Ethics 1nathan2[1]4 30 07Don Krutsinger
 
Educar En Esports
Educar En EsportsEducar En Esports
Educar En EsportsMontse
 
Dalla Carta Alla Rete E Dalla Rete Alla Carta
Dalla Carta Alla Rete E Dalla Rete Alla CartaDalla Carta Alla Rete E Dalla Rete Alla Carta
Dalla Carta Alla Rete E Dalla Rete Alla CartaDaniela Elle
 
Dspam Survey Results General Presentation
Dspam Survey Results  General PresentationDspam Survey Results  General Presentation
Dspam Survey Results General PresentationDon Krutsinger
 
Early Settlers Part One
Early Settlers  Part OneEarly Settlers  Part One
Early Settlers Part Onesmcall
 
ARRM Conference Presentation of DSPAM
ARRM Conference Presentation of DSPAMARRM Conference Presentation of DSPAM
ARRM Conference Presentation of DSPAMDon Krutsinger
 

Viewers also liked (9)

Mc Insurance Industry White Paper Final
Mc Insurance Industry White Paper FinalMc Insurance Industry White Paper Final
Mc Insurance Industry White Paper Final
 
Strukture Podataka Zadatak 1
Strukture Podataka    Zadatak 1Strukture Podataka    Zadatak 1
Strukture Podataka Zadatak 1
 
Dspam Ethics 1nathan2[1]4 30 07
Dspam Ethics 1nathan2[1]4 30 07Dspam Ethics 1nathan2[1]4 30 07
Dspam Ethics 1nathan2[1]4 30 07
 
Educar En Esports
Educar En EsportsEducar En Esports
Educar En Esports
 
Dalla Carta Alla Rete E Dalla Rete Alla Carta
Dalla Carta Alla Rete E Dalla Rete Alla CartaDalla Carta Alla Rete E Dalla Rete Alla Carta
Dalla Carta Alla Rete E Dalla Rete Alla Carta
 
Dspam Survey Results General Presentation
Dspam Survey Results  General PresentationDspam Survey Results  General Presentation
Dspam Survey Results General Presentation
 
FOTOBL
FOTOBLFOTOBL
FOTOBL
 
Early Settlers Part One
Early Settlers  Part OneEarly Settlers  Part One
Early Settlers Part One
 
ARRM Conference Presentation of DSPAM
ARRM Conference Presentation of DSPAMARRM Conference Presentation of DSPAM
ARRM Conference Presentation of DSPAM
 

Similar to Improving Advanced Lithography Process Defectivity with a Highly Retentive 5nm Asymmetric UPE Filter

North American Membrane Society Poster
North American Membrane Society PosterNorth American Membrane Society Poster
North American Membrane Society PosterShawreen Shah
 
Fujifilm - Micro Filter Astro Pore
Fujifilm - Micro Filter Astro PoreFujifilm - Micro Filter Astro Pore
Fujifilm - Micro Filter Astro PoreBernard Genoud
 
Ph D Lit Review Extracts Of Other Uses For Isam
Ph D Lit Review Extracts Of Other Uses For IsamPh D Lit Review Extracts Of Other Uses For Isam
Ph D Lit Review Extracts Of Other Uses For IsamPatrick Neyman
 
CPGE research showcase poster JWD 2
CPGE research showcase poster JWD 2CPGE research showcase poster JWD 2
CPGE research showcase poster JWD 2Jonathan Driver
 
OPTUM® Technology an innovative barrier solution for polypropylene-based mate...
OPTUM® Technology an innovative barrier solution for polypropylene-based mate...OPTUM® Technology an innovative barrier solution for polypropylene-based mate...
OPTUM® Technology an innovative barrier solution for polypropylene-based mate...José Luis Feijoo
 
Optum® Technology an innovative barrier solution for polypropylene based mate...
Optum® Technology an innovative barrier solution for polypropylene based mate...Optum® Technology an innovative barrier solution for polypropylene based mate...
Optum® Technology an innovative barrier solution for polypropylene based mate...Sergio Torres-Giner
 
Asahi Kasei - Qyu speed d aex
Asahi Kasei - Qyu speed d aex   Asahi Kasei - Qyu speed d aex
Asahi Kasei - Qyu speed d aex GBX Summits
 
Design and development of composite nonwoven filter for pre-filtration of te...
Design and development of composite nonwoven filter for pre-filtration of te...Design and development of composite nonwoven filter for pre-filtration of te...
Design and development of composite nonwoven filter for pre-filtration of te...vivek sharma
 
NANOFIBER SPINNING OF PAN AND PLA BLEND USING NEEDLELESS ELECTROSPINNING TECH...
NANOFIBER SPINNING OF PAN AND PLA BLEND USING NEEDLELESS ELECTROSPINNING TECH...NANOFIBER SPINNING OF PAN AND PLA BLEND USING NEEDLELESS ELECTROSPINNING TECH...
NANOFIBER SPINNING OF PAN AND PLA BLEND USING NEEDLELESS ELECTROSPINNING TECH...OUTR, Bhubaneswar
 
Characterizing Polymenr Laminates Using IR Microscopy
Characterizing Polymenr Laminates Using IR MicroscopyCharacterizing Polymenr Laminates Using IR Microscopy
Characterizing Polymenr Laminates Using IR MicroscopyPerkinElmer, Inc.
 
Other Separations Techniques for Suspensions
Other Separations Techniques for SuspensionsOther Separations Techniques for Suspensions
Other Separations Techniques for SuspensionsGerard B. Hawkins
 
What is a liposome Extruder? - working principle -usage
What is a liposome Extruder?  - working principle -usageWhat is a liposome Extruder?  - working principle -usage
What is a liposome Extruder? - working principle -usageJennifer Genizer
 
Ultragreen - Guarantee the water quality beyond the highest standards, even i...
Ultragreen - Guarantee the water quality beyond the highest standards, even i...Ultragreen - Guarantee the water quality beyond the highest standards, even i...
Ultragreen - Guarantee the water quality beyond the highest standards, even i...Degrémont
 
Bi-axially oriented Poly polypropylene films
Bi-axially oriented Poly polypropylene films Bi-axially oriented Poly polypropylene films
Bi-axially oriented Poly polypropylene films JayaVerma28
 
Nanosuspension – An unique tool for improving the bioavailability of poorly s...
Nanosuspension – An unique tool for improving the bioavailability of poorly s...Nanosuspension – An unique tool for improving the bioavailability of poorly s...
Nanosuspension – An unique tool for improving the bioavailability of poorly s...Simon Curtis
 
Construccion de transductores de 50 m hz de pvdf
Construccion de transductores de 50 m hz de pvdfConstruccion de transductores de 50 m hz de pvdf
Construccion de transductores de 50 m hz de pvdfRoberto Otero
 
INSET poster_bearddylan
INSET poster_bearddylanINSET poster_bearddylan
INSET poster_bearddylanDylan Beard
 

Similar to Improving Advanced Lithography Process Defectivity with a Highly Retentive 5nm Asymmetric UPE Filter (20)

North American Membrane Society Poster
North American Membrane Society PosterNorth American Membrane Society Poster
North American Membrane Society Poster
 
Fujifilm - Micro Filter Astro Pore
Fujifilm - Micro Filter Astro PoreFujifilm - Micro Filter Astro Pore
Fujifilm - Micro Filter Astro Pore
 
Ph D Lit Review Extracts Of Other Uses For Isam
Ph D Lit Review Extracts Of Other Uses For IsamPh D Lit Review Extracts Of Other Uses For Isam
Ph D Lit Review Extracts Of Other Uses For Isam
 
CPGE research showcase poster JWD 2
CPGE research showcase poster JWD 2CPGE research showcase poster JWD 2
CPGE research showcase poster JWD 2
 
Presentation
PresentationPresentation
Presentation
 
OPTUM® Technology an innovative barrier solution for polypropylene-based mate...
OPTUM® Technology an innovative barrier solution for polypropylene-based mate...OPTUM® Technology an innovative barrier solution for polypropylene-based mate...
OPTUM® Technology an innovative barrier solution for polypropylene-based mate...
 
Optum® Technology an innovative barrier solution for polypropylene based mate...
Optum® Technology an innovative barrier solution for polypropylene based mate...Optum® Technology an innovative barrier solution for polypropylene based mate...
Optum® Technology an innovative barrier solution for polypropylene based mate...
 
Poster_TJM_NK_1
Poster_TJM_NK_1Poster_TJM_NK_1
Poster_TJM_NK_1
 
Asahi Kasei - Qyu speed d aex
Asahi Kasei - Qyu speed d aex   Asahi Kasei - Qyu speed d aex
Asahi Kasei - Qyu speed d aex
 
Design and development of composite nonwoven filter for pre-filtration of te...
Design and development of composite nonwoven filter for pre-filtration of te...Design and development of composite nonwoven filter for pre-filtration of te...
Design and development of composite nonwoven filter for pre-filtration of te...
 
NANOFIBER SPINNING OF PAN AND PLA BLEND USING NEEDLELESS ELECTROSPINNING TECH...
NANOFIBER SPINNING OF PAN AND PLA BLEND USING NEEDLELESS ELECTROSPINNING TECH...NANOFIBER SPINNING OF PAN AND PLA BLEND USING NEEDLELESS ELECTROSPINNING TECH...
NANOFIBER SPINNING OF PAN AND PLA BLEND USING NEEDLELESS ELECTROSPINNING TECH...
 
Characterizing Polymenr Laminates Using IR Microscopy
Characterizing Polymenr Laminates Using IR MicroscopyCharacterizing Polymenr Laminates Using IR Microscopy
Characterizing Polymenr Laminates Using IR Microscopy
 
Other Separations Techniques for Suspensions
Other Separations Techniques for SuspensionsOther Separations Techniques for Suspensions
Other Separations Techniques for Suspensions
 
Pretreatment
PretreatmentPretreatment
Pretreatment
 
What is a liposome Extruder? - working principle -usage
What is a liposome Extruder?  - working principle -usageWhat is a liposome Extruder?  - working principle -usage
What is a liposome Extruder? - working principle -usage
 
Ultragreen - Guarantee the water quality beyond the highest standards, even i...
Ultragreen - Guarantee the water quality beyond the highest standards, even i...Ultragreen - Guarantee the water quality beyond the highest standards, even i...
Ultragreen - Guarantee the water quality beyond the highest standards, even i...
 
Bi-axially oriented Poly polypropylene films
Bi-axially oriented Poly polypropylene films Bi-axially oriented Poly polypropylene films
Bi-axially oriented Poly polypropylene films
 
Nanosuspension – An unique tool for improving the bioavailability of poorly s...
Nanosuspension – An unique tool for improving the bioavailability of poorly s...Nanosuspension – An unique tool for improving the bioavailability of poorly s...
Nanosuspension – An unique tool for improving the bioavailability of poorly s...
 
Construccion de transductores de 50 m hz de pvdf
Construccion de transductores de 50 m hz de pvdfConstruccion de transductores de 50 m hz de pvdf
Construccion de transductores de 50 m hz de pvdf
 
INSET poster_bearddylan
INSET poster_bearddylanINSET poster_bearddylan
INSET poster_bearddylan
 

Recently uploaded

The Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptx
The Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptxThe Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptx
The Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptxLoriGlavin3
 
React Native vs Ionic - The Best Mobile App Framework
React Native vs Ionic - The Best Mobile App FrameworkReact Native vs Ionic - The Best Mobile App Framework
React Native vs Ionic - The Best Mobile App FrameworkPixlogix Infotech
 
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptxThe Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptxLoriGlavin3
 
Zeshan Sattar- Assessing the skill requirements and industry expectations for...
Zeshan Sattar- Assessing the skill requirements and industry expectations for...Zeshan Sattar- Assessing the skill requirements and industry expectations for...
Zeshan Sattar- Assessing the skill requirements and industry expectations for...itnewsafrica
 
Use of FIDO in the Payments and Identity Landscape: FIDO Paris Seminar.pptx
Use of FIDO in the Payments and Identity Landscape: FIDO Paris Seminar.pptxUse of FIDO in the Payments and Identity Landscape: FIDO Paris Seminar.pptx
Use of FIDO in the Payments and Identity Landscape: FIDO Paris Seminar.pptxLoriGlavin3
 
The Ultimate Guide to Choosing WordPress Pros and Cons
The Ultimate Guide to Choosing WordPress Pros and ConsThe Ultimate Guide to Choosing WordPress Pros and Cons
The Ultimate Guide to Choosing WordPress Pros and ConsPixlogix Infotech
 
Microsoft 365 Copilot: How to boost your productivity with AI – Part one: Ado...
Microsoft 365 Copilot: How to boost your productivity with AI – Part one: Ado...Microsoft 365 Copilot: How to boost your productivity with AI – Part one: Ado...
Microsoft 365 Copilot: How to boost your productivity with AI – Part one: Ado...Nikki Chapple
 
The State of Passkeys with FIDO Alliance.pptx
The State of Passkeys with FIDO Alliance.pptxThe State of Passkeys with FIDO Alliance.pptx
The State of Passkeys with FIDO Alliance.pptxLoriGlavin3
 
TeamStation AI System Report LATAM IT Salaries 2024
TeamStation AI System Report LATAM IT Salaries 2024TeamStation AI System Report LATAM IT Salaries 2024
TeamStation AI System Report LATAM IT Salaries 2024Lonnie McRorey
 
The Future Roadmap for the Composable Data Stack - Wes McKinney - Data Counci...
The Future Roadmap for the Composable Data Stack - Wes McKinney - Data Counci...The Future Roadmap for the Composable Data Stack - Wes McKinney - Data Counci...
The Future Roadmap for the Composable Data Stack - Wes McKinney - Data Counci...Wes McKinney
 
New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024BookNet Canada
 
Abdul Kader Baba- Managing Cybersecurity Risks and Compliance Requirements i...
Abdul Kader Baba- Managing Cybersecurity Risks  and Compliance Requirements i...Abdul Kader Baba- Managing Cybersecurity Risks  and Compliance Requirements i...
Abdul Kader Baba- Managing Cybersecurity Risks and Compliance Requirements i...itnewsafrica
 
Long journey of Ruby standard library at RubyConf AU 2024
Long journey of Ruby standard library at RubyConf AU 2024Long journey of Ruby standard library at RubyConf AU 2024
Long journey of Ruby standard library at RubyConf AU 2024Hiroshi SHIBATA
 
Top 10 Hubspot Development Companies in 2024
Top 10 Hubspot Development Companies in 2024Top 10 Hubspot Development Companies in 2024
Top 10 Hubspot Development Companies in 2024TopCSSGallery
 
How AI, OpenAI, and ChatGPT impact business and software.
How AI, OpenAI, and ChatGPT impact business and software.How AI, OpenAI, and ChatGPT impact business and software.
How AI, OpenAI, and ChatGPT impact business and software.Curtis Poe
 
MuleSoft Online Meetup Group - B2B Crash Course: Release SparkNotes
MuleSoft Online Meetup Group - B2B Crash Course: Release SparkNotesMuleSoft Online Meetup Group - B2B Crash Course: Release SparkNotes
MuleSoft Online Meetup Group - B2B Crash Course: Release SparkNotesManik S Magar
 
Genislab builds better products and faster go-to-market with Lean project man...
Genislab builds better products and faster go-to-market with Lean project man...Genislab builds better products and faster go-to-market with Lean project man...
Genislab builds better products and faster go-to-market with Lean project man...Farhan Tariq
 
Emixa Mendix Meetup 11 April 2024 about Mendix Native development
Emixa Mendix Meetup 11 April 2024 about Mendix Native developmentEmixa Mendix Meetup 11 April 2024 about Mendix Native development
Emixa Mendix Meetup 11 April 2024 about Mendix Native developmentPim van der Noll
 
So einfach geht modernes Roaming fuer Notes und Nomad.pdf
So einfach geht modernes Roaming fuer Notes und Nomad.pdfSo einfach geht modernes Roaming fuer Notes und Nomad.pdf
So einfach geht modernes Roaming fuer Notes und Nomad.pdfpanagenda
 
Connecting the Dots for Information Discovery.pdf
Connecting the Dots for Information Discovery.pdfConnecting the Dots for Information Discovery.pdf
Connecting the Dots for Information Discovery.pdfNeo4j
 

Recently uploaded (20)

The Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptx
The Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptxThe Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptx
The Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptx
 
React Native vs Ionic - The Best Mobile App Framework
React Native vs Ionic - The Best Mobile App FrameworkReact Native vs Ionic - The Best Mobile App Framework
React Native vs Ionic - The Best Mobile App Framework
 
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptxThe Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
 
Zeshan Sattar- Assessing the skill requirements and industry expectations for...
Zeshan Sattar- Assessing the skill requirements and industry expectations for...Zeshan Sattar- Assessing the skill requirements and industry expectations for...
Zeshan Sattar- Assessing the skill requirements and industry expectations for...
 
Use of FIDO in the Payments and Identity Landscape: FIDO Paris Seminar.pptx
Use of FIDO in the Payments and Identity Landscape: FIDO Paris Seminar.pptxUse of FIDO in the Payments and Identity Landscape: FIDO Paris Seminar.pptx
Use of FIDO in the Payments and Identity Landscape: FIDO Paris Seminar.pptx
 
The Ultimate Guide to Choosing WordPress Pros and Cons
The Ultimate Guide to Choosing WordPress Pros and ConsThe Ultimate Guide to Choosing WordPress Pros and Cons
The Ultimate Guide to Choosing WordPress Pros and Cons
 
Microsoft 365 Copilot: How to boost your productivity with AI – Part one: Ado...
Microsoft 365 Copilot: How to boost your productivity with AI – Part one: Ado...Microsoft 365 Copilot: How to boost your productivity with AI – Part one: Ado...
Microsoft 365 Copilot: How to boost your productivity with AI – Part one: Ado...
 
The State of Passkeys with FIDO Alliance.pptx
The State of Passkeys with FIDO Alliance.pptxThe State of Passkeys with FIDO Alliance.pptx
The State of Passkeys with FIDO Alliance.pptx
 
TeamStation AI System Report LATAM IT Salaries 2024
TeamStation AI System Report LATAM IT Salaries 2024TeamStation AI System Report LATAM IT Salaries 2024
TeamStation AI System Report LATAM IT Salaries 2024
 
The Future Roadmap for the Composable Data Stack - Wes McKinney - Data Counci...
The Future Roadmap for the Composable Data Stack - Wes McKinney - Data Counci...The Future Roadmap for the Composable Data Stack - Wes McKinney - Data Counci...
The Future Roadmap for the Composable Data Stack - Wes McKinney - Data Counci...
 
New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
 
Abdul Kader Baba- Managing Cybersecurity Risks and Compliance Requirements i...
Abdul Kader Baba- Managing Cybersecurity Risks  and Compliance Requirements i...Abdul Kader Baba- Managing Cybersecurity Risks  and Compliance Requirements i...
Abdul Kader Baba- Managing Cybersecurity Risks and Compliance Requirements i...
 
Long journey of Ruby standard library at RubyConf AU 2024
Long journey of Ruby standard library at RubyConf AU 2024Long journey of Ruby standard library at RubyConf AU 2024
Long journey of Ruby standard library at RubyConf AU 2024
 
Top 10 Hubspot Development Companies in 2024
Top 10 Hubspot Development Companies in 2024Top 10 Hubspot Development Companies in 2024
Top 10 Hubspot Development Companies in 2024
 
How AI, OpenAI, and ChatGPT impact business and software.
How AI, OpenAI, and ChatGPT impact business and software.How AI, OpenAI, and ChatGPT impact business and software.
How AI, OpenAI, and ChatGPT impact business and software.
 
MuleSoft Online Meetup Group - B2B Crash Course: Release SparkNotes
MuleSoft Online Meetup Group - B2B Crash Course: Release SparkNotesMuleSoft Online Meetup Group - B2B Crash Course: Release SparkNotes
MuleSoft Online Meetup Group - B2B Crash Course: Release SparkNotes
 
Genislab builds better products and faster go-to-market with Lean project man...
Genislab builds better products and faster go-to-market with Lean project man...Genislab builds better products and faster go-to-market with Lean project man...
Genislab builds better products and faster go-to-market with Lean project man...
 
Emixa Mendix Meetup 11 April 2024 about Mendix Native development
Emixa Mendix Meetup 11 April 2024 about Mendix Native developmentEmixa Mendix Meetup 11 April 2024 about Mendix Native development
Emixa Mendix Meetup 11 April 2024 about Mendix Native development
 
So einfach geht modernes Roaming fuer Notes und Nomad.pdf
So einfach geht modernes Roaming fuer Notes und Nomad.pdfSo einfach geht modernes Roaming fuer Notes und Nomad.pdf
So einfach geht modernes Roaming fuer Notes und Nomad.pdf
 
Connecting the Dots for Information Discovery.pdf
Connecting the Dots for Information Discovery.pdfConnecting the Dots for Information Discovery.pdf
Connecting the Dots for Information Discovery.pdf
 

Improving Advanced Lithography Process Defectivity with a Highly Retentive 5nm Asymmetric UPE Filter

  • 1. AppLIcAtIon note ImprovIng AdvAnced LIthogrAphy process defectIvIty wIth A hIghLy retentIve 5 nm AsymmetrIc Upe fILter Authors: Aiwen Wu and Jennifer Braggin Introduction Design of 5 nm Asymmetric Semiconductors require ever increasing purity UPE Filter in fluids that come in contact with the devices to Various polymer materials could be used to manu­ reduce the defectivity during the manufacturing facture particle filters for photochemical filtration, process. Defect control is extremely critical and such as UPE, PTFE and polyamide. Traditionally, continues to be one of the biggest challenges in all these material­based filters have symmetric lithography processes for integrated device manu­ membranes, where pore size is constant across facturers (IDMs) as the critical dimension (CD) the membrane thickness. In contrast, Entegris size shrinks.1 Any defect could result in an unusable developed a new asymmetric UPE membrane using device, resulting in a financial loss for the IDMs. its proprietary co­extrusion technology, where Particularly in 193 nm lithography processes, pore size changes across the membrane thickness. there have been widespread occurrences of various The upstream surface of the asymmetric membrane defects in the coated films, and many factors has a larger pore size than on the downstream. could play a role in defect formation. For example, This type of pore structure provides the improved photoresist and BARC coating defects could be retention efficiency and capacity of the micro­ affected by the dispense process, cleanliness of porous membrane without sacrificing the flow. the materials, and filtration process. This optimized asymmetric structure is specifi­ Particle removal filters are used in almost every cally designed to meet the unique requirements process step where a liquid comes in contact with of advanced lithography processes below 65 nm a wafer. Implementation of polymer membrane­ technology node, where pressure drop might be based microfiltration in the photochemical a limiting factor in decreasing retention rating. manufacturing process effectively improves the It allows for a wider window of operation on cleanliness of the materials. Furthermore, in photochemical dispense pumps. Figure 1 shows the scanning electron microscope (SEM) image of today’s state­of­the­art semiconductor fabs, an the cross­section of asymmetric UPE membrane. extra filtration step at the point of dispense on the coater module is adopted to further minimize coating defects by providing particle/bubble­free photochemical dispense on the wafer. With the continuous demands for defect reduction and high productivity, Entegris has developed a new, highly retentive 5 nm rated asymmetric ultra­ high molecular weight polyethylene (UPE) filter to address these demands particularly in advanced lithography processes. The purpose of this applica­ tion note is to provide data to show the performance of 5 nm asymmetric UPE filters and its effectiveness of reducing the wafer defects for various litho­ graphic processes. Open layer Intermediate layer Highly retentive layer For increased flow High loading capacity Hard particle retention Increased gel retention 5 nm removal Increased impurity residence time Figure 1. Asymmetric membrane cross-section entegrIs, Inc. 1
  • 2. ImprovIng AdvAnced LIthogrAphy process defectIvIty Improved Particle Retention well controlled. The experimental data shows that using smaller 26 nm fluorescent particles to Bubble point (BP) pressure is a common parameter challenge the filters results in more differentiation to characterize microporous membranes and is among the filters. Figure 2 provides a correlation defined as the minimum pressure required to begin between particle removal efficiency and bubble displacing wetting liquid from the pores of a wetted point for Entegris UPE membranes. As membrane membrane. This pressure is related to the largest pore size shrinks (BP increases), particle reten­ size pore in that membrane and is often determined tion increases. by visual detection of “bubble” as applied differen­ Retention vs. Bubble Point tial pressure is increased across the membrane. The equation for bubble point shows an inversely Retention BP Membrane Bubble Point proportional relationship between the pore Membrane Retention diameter and the bubble point value. 2 UPE UPE UPE UPE UPE UPE 5 nm 10 nm 10 nm 20 nm 30 nm 50 nm Asym- Asym- metric metric Figure 2. Correlation between 26 nm PSL bead retention for UPE membranes While 5 nm asymmetric UPE membrane greatly improves particle retention performance compared to 10 nm rating UPE, it doesn’t sacrifice the flow Filters with 20 nm and 10 nm retention ratings have performance. With pore size greatly shrinking and become the standard for 193 nm photoresist filtra­ thickness increasing, the pressure drop of 5 nm tion. These filters were tested for particle retention asymmetric UPE membrane does not significantly with monodispersed 33 nm polystyrene latex (PSL) increase. Figure 3 presents the flow comparison of beads and optical particle counters (OPC) using Entegris point­of­use (POU) photochemical filter a modified SEMATECH® test method.3 However, Impact® 2 V2. one of the issues with using an OPC to measure PSL 25 particles down to 33 nm in size is that it is difficult to differentiate very tight filters from one another. 20 Recently a new method has been developed by Pressure Drop (PSI) Entegris to measure particle removal efficiencies 15 Impact 2 V2 5 nm asymmetric for sub­30 nm pore size rated filters using fluo­ rescence spectroscopy. In this method, a filter is 10 challenged with fluorescent particles, which are Impact 2 V2 20 nm then counted by measuring fluorescent signals of 5 upstream and downstream solutions. Retention can Impact 2 V2 10 nm be calculated by comparing the fluorescent signals 0 of the two solutions. There are several advantages 0 5 10 15 20 to the fluorescence method compared to traditional Flow Rate for 1 cp Fluid (cc/sec) OPC techniques, including high sensitivity, easy Figure 3. Flow performance comparison of point-of-use filters spectral measurement and ability to detect fluores­ cent PSL particles whose size has been relatively entegrIs, Inc. 2
  • 3. ImprovIng AdvAnced LIthogrAphy process defectIvIty Wafer Defect Reduction of of­use filtration methods on microbridging defectivity.6 This study focused on the ability of 5 nm Asymmetric UPE Filter point­of­use filtration on the lithography track to reduce the level of microbridging defectivity in a The decrease of critical line widths is requiring 45 nm line/space pattern created through immer­ the use of tighter filtration for critical photochem­ sion lithography. A design of experiment method­ icals. Filters rated at 10 nm and 20 nm filtration ology was used to determine the effect of filter have been implemented in both the photochemical retention rating, filtration rate and controlled manufacturing process and in the point­of­use filtration pressure on microbridging defectivity. spin­coating process in track systems, and have been shown to be effective in reducing defects.4, 5 In the experiment, wafers were coated and However, the implementation of finer filtration exposed on the Sokudo™ RF 3Si/ASML™ raises concerns as high differential pressure across XT:1900Gi cluster. Entegris’ IntelliGen® Mini the filter causes possible outgassing of the photo­ dispense system was used with Entegris’ Impact chemical and finer pore size approaches the size Mini point­of­use UPE filters with various pore of large molecular weight polymers in the photo­ sizes. IMEC’s Defect 45 mask was used for the expo­ chemical. These conditions can cause polymer sures. The Defect 45 mask is designed to print nine shearing, micro bubble formation and gel particle uniform sub­dies of 45 nm lines with 90 nm pitch. formation. Filter materials with finer pore size may The resist stack for this experiment consisted of 95 have affinity with certain species in the photo­ nm BARC and 105 nm TOK TARF Pi6 001ME resist chemical formulations, causing photochemical which intentionally contaminated high levels of changes to occur before it reaches the wafer. To microbridging defects. A post­soak step was added address all these concerns and demonstrate the after the exposure, and development was done benefits of highly retentive 5 nm asymmetric UPE with the slit­scan nozzle. In a particular test, an filter, a series of evaluations have been conducted intentionally contaminated resist with 10 times under actual production conditions at a number of the microbridging levels of the process of record different customer sites. (POR) resist chemistry was used to determine the effect of filtration parameters on defect density. Case 1: Reducing Microbridging Defects for Figure 4 shows the best results for combination of 193 nm Top-coatless Immersion Photoresist filtration rate and pressure applied to the filtrate Microbridging was recognized as one of the critical for 5 nm asymmetric UPE and 20 nm symmetric patterning defects that were frequently observed in UPE filters. Further analysis of the experimental 193 nm lithographic process in different formula­ data suggests that filter pore size is statistically tions from different manufacturers. The problem significant for reducing microbridging defects. The becomes remarkable particularly in dense line/ data also indicates that different filter designs will space patterns and seriously damages the pro­ require different, optimized filtration rates and duction yield. Entegris has engaged with the applied pressures to further reduce microbridging Interuniversity Microelectronics Center (IMEC) defect density. in Leuven, Belgium, to study the effects of point­ Normalized Defect Data Defective Chemistry Sample 120% No filter (Medium rate/ 20 nm (Low rate/ 5 nm (High rate/ Medium pressure) High pressure) High pressure) 100% 80% 60% 40% 20% 0 Total Irregular Embedded Burst Bubble Line Microbridging Bridging Contaminant Defect Nodule Figure 4. Results of 5 nm asymmetric UPE evaluation under best conditions at IMEC entegrIs, Inc. 3
  • 4. ImprovIng AdvAnced LIthogrAphy process defectIvIty Case 2: Reducing Overall Coating Defects for Case 3: Reducing Residue-type Defects for 193 nm BARC Process 193 nm Top-coatless Immersion Photoresist The implementation of BARC processes in 193 nm A study had been started to reduce residue­type dry and immersion lithography has been accom­ defects for the latest 193 nm top­coatless immersion panied by defect reduction challenges on fine resist. To reduce this residue­type defect, 10 nm patterns. In a joint study with AZ Electronic asymmetric and 5 nm asymmetric UPE filters were Materials, the efficiency and performance of compared. The tested resist was well controlled for Entegris’ Impact 2 UPE filters with various pore elimination of microbridging­type defect. The focus sizes in reducing BARC process related defects was on eliminating residue­type defects. Table 1 was examined. AZ® ArF­1C5D BARC coating was is the brief summary of testing condition. The eval­ employed in this study. The testing was accom­ uation results in Figure 6 showed that the 5 nm plished using an Entegris IntelliGen Mini dispense asymmetric UPE filter reduced the residue­type pump integrated in the coating module of a defects by over 70% compared to the 10 nm TEL® CLEAN TRACK ACT 8. BARC was coated on asymmetric UPE filter. an unprimed silicon wafer. The dispense recipe and coat recipe were kept constant as the filter pore tAbLe 1. brIef sUmmAry of testIng condItIon size changed. Spin speed was adjusted such that Substrate 200 mm bare Si with BARC 37 nm BARC film thickness was achieved. The softbake condition was 200°C for 60 seconds. Top coat None Three ACI wafers were coated and the wafers were Mask 75 nm L/S measured for defects. A KLA­Tencor® 2360 High­ Exposure Dry ArF scanner Resolution Imaging Inspection System was used with a 0.20 µm pixel size under bright field imaging Dispense pump Single stage mode to count defects on the coated wafers. Then Inspection KLA 2360 the defect review was carried out using an Applied Materials® SEMVision™ cX defect review tool. 100 10 nm UPE Figure 5 shows the total defect results for the 5 nm UPE Normalized Defect Count (%) 80 tested filters. In the first run, 3 point­of­use UPE filters with various pore sizes were tested. 60 Compared to a 20 nm rating filter, smaller pore size filters significantly improved the BARC defectivity. 40 Furthermore, 5 nm asymmetric filter performed the best, with the lowest number of total defects. 20 Then a confirmation run was conducted using 20 nm and 5 nm asymmetric filters to confirm the findings in the first round of testing. The defect 0 Fall on Residue results were very similar to the first round of Figure 6. Effect of filter pore size on residue-type defect testing as shown in Figure 6. The 5 nm asymmetric reduction in ArF immersion resist filter showed much lower defect counts than the 20 nm filter. First run Confirmation run Total Defects Impact 2 V2 20 nm Impact 2 V2 10 nm Impact 2 V2 5 nm symmetric symmetric asymmetric Figure 5. Total defectivity comparison by filter entegrIs, Inc. 4
  • 5. ImprovIng AdvAnced LIthogrAphy process defectIvIty Filter Priming 0.2 µm. This OPC was installed on the outlet line of the dispense system, monitoring the entire The most noticeable attribute of photochemical downstream of the testing filters. The effluent was POU filters is the ability to purge air and contami­ recycled to the reservoir. The filters were primed nants introduced during system maintenance or with the solvent ethyl lactate and the dispense filter changeout. Customers continue to have issues recipe was continually performed until particle with filter priming and associated tool downtime. counts leveled off. Since each new testing filter As the finer feature size of advanced lithography was installed after the particle counts reached very processes requires even finer filtration for photo­ low background with a filter in place, the particle chemicals, there is more concern on the finer levels shown by the counter indicated the level filter priming speed. The unique design of 5 nm of microbubbles in the dispense line during the asymmetric UPE membrane ensures a high level of testing. While optical particle counters are not retention to reduce advance process defects while designed to count bubbles, the results can be used also maintaining the ability of fast priming during in a semi­quantitative manner to see differences filter changeout. in filter performance. Laboratory experiments were conducted to examine The result of filter priming testing is shown in the priming performance of Impact 2 V2 5 nm Figure 7. The priming speed of Impact 2 V2 5 nm asymmetric UPE filter and compare to a standard asymmetric UPE filters is slightly better or equal Impact 2 V2 10 nm symmetric UPE filter on an to a standard Impact 2 V2 10 nm symmetric UPE Entegris two­stage­technology IntelliGen Mini filter. This result shows that when the membrane dispense pump. A recirculating chemical test structure is optimized correctly, the 5 nm asym­ stand was assembled using a chemical reservoir, metric filter can maintain the fast priming speed. a dispense pump, a filter manifold, a test filter As a result, the chemical waste and dispense­point and an OPC. The OPC is a PMS LiQuilaz® SO2, downtime are reduced. capable of detecting and sizing particles down to Bubble Cleanup Testing on IntelliGen Mini Pump with Ethyl Lactate (Dispense Volume = 5 mL; Dispense Rate = 1 mL/sec; Filtration Rate = 1 mL/sec 14 12 Impact 2 V2 10 nm symmetric UPE Impact 2 V2 5 nm asymmetric UPE #1 Impact 2 V2 5 nm aymmetric UPE #2 10 Particles/mL ≥0.2 µm 8 6 4 2 0 0 50 100 150 200 250 300 350 400 450 Dispense Cycle Figure 7. Bubble flushup of Impact 2 V2 5 nm asymmetric UPE filter entegrIs, Inc. 5
  • 6. ImprovIng AdvAnced LIthogrAphy process defectIvIty Conclusion References Asymmetric UPE technology is a new applica­ 1. Tamada, M. Sanada, “Mechanism Study of tion­specific filter family designed to optimize Defect Improvement by Short Develop Time performance in critical photochemical filtration Process,” Proc. SPIE, Vol. 5753, 996 –1007, 2005. processes requiring a combination of high flow 2. Porter, Mark C., “Handbook of Industrial and extreme retention. The 5 nm asymmetric UPE Membrane Technology.” filter has been shown to provide superior retention 3. Lee, J.K. et al., “Latex Sphere Retention by that can lead to reduction on microbridging defects, Microporous Membranes in Liquid Filtration,” residue defects and overall defects in advanced Journal of the IES, January/February 1993, lithography processes. There is no evidence that 26 – 36. finer filtration down to a 5 nm rating changes the integrity of advance photolithography chemistries 4. Amari, M.; Wu, A.; Yang, H. J.; Chen, L.; resulting in increased defectivity. To enable Bowling T. and Watt, M., “Effect of Filter further reduction in chip line widths with mini­ Surface Chemistry and Morphology on mized defects, the finer filtration is required 193 nm Lithography Applications,” at both photochemical manufacturing and SEMICON Korea, 2005. point­of­use locations. 5. Wu, A. and Chow, W., “Defect Reduction in Advanced Lithography Processes Using a New Dual Functionality Filter,” International Symposium on Semiconductor Manufacturing, Tokyo, Japan, October 27– 29, 2008. 6. Braggin, J.; Gronheid, R.; Cheng S.; Van Den Heuvel, D.; Bernard, S.; Foubert, P. and Rosslee, C., “Analysis of the Effect of Point­of­ Use Filtration on Microbridging Defectivity,” SPIE 2009. Entegris®, Impact® and IntelliGen® are registered trademarks of Entegris, Inc. SEMATECH® is a registered trademark of Sematech, Inc. Sokudo™ is a trademark of Sokudo, USA, L.L.C. ASML™ is a trademark of ASML Holding N.V. AZ® is a registered trademark of AZ Electronic Materials. TEL® is a registered trademark of Tokyo Electron Kabushiki Kaisha. KLA­Tencor® is a registered trademark of KLA­Tencor Corporation. SEMVision™ is a trademark of Applied Materials, Inc. LiQuilaz® is a registered trademark of Particle Measuring Systems. entegrIs, Inc. Corporate Headquarters | 3500 Lyman Boulevard | Chaska, Minnesota 55318 USA Customer Service Tel. +1 952-556-4181 | Customer Service Fax +1 952-556-8022 www.entegris.com ©2009 Entegris, Inc. All rights reserved Printed in USA 4423-5836ENT-0909