SlideShare a Scribd company logo
1 of 13
Download to read offline
Innovative Systems Design and Engineering www.iiste.org
ISSN 2222-1727 (Paper) ISSN 2222-2871 (Online)
Vol.4, No.8, 2013
30
Development of FPGA Based System for Neutron Flux
Monitoring in Fast Breeder Reactors
M.Sivaramakrishna, Dr. P.Chellapandi, IGCAR, Dr.S.V.G.Ravindranath (BARC),
IGCAR, Kalpakkam, India
(sivarama@igcar.gov.in)
Abstract
The project aims to calculate the frequency of the neutron flux by monitoring the signal from neutron detector
from shutdown to full power over 10 decades. This neutron flux signal is input to the FPGA based MODULE. A
mathematical relationship has been established between the neutron flux (frequency of the neutrons) and the area
under the signal. Variable amplitude and occurrence have been accounted for. White noise has also been added
and tested for. VHDL has been used to simplify the otherwise complicated logic gate design. Mathematical
modeling has been used as it is the most accurate of the available methods.
Index Terms -- Neutron flux monitoring, area, pulses
1. Need of the system
Currently, neutron flux is monitored in all states of the reactor by Neutron flux monitoring systems. The system
consists of several sets of detectors and instrument channels. For smooth transition from one set of instrument
channel to other, interlocks with auto inhibition in safety logic are provided. In each channel, there is a trade-off
between response time and accuracy. Volume of electronics involved is very high.
In addition, the pulses from the neutron detector are not periodic. Hence counting techniques do not result in
accurate prediction of frequency. It can also be seen that as the frequency goes up the pulses over lap. Hence
estimating the power using pulse counting can’t predict the power correctly.
Currently, the detector is operated in pulse and Campbell modes. Even in Campbell mode, there is a trade-off
between the accuracy and response time and linearity is obtained only for 4 decades.
FPGAs are currently the most user friendly and economically viable option for logic circuit design. They can be
programmed to match user’s requirements.
The work aims to find a relation between the frequency of the neutron flux signal and a mathematical function.
The code designed will be able to calculate frequency for signals with constant amplitude, random amplitude,
random occurrence and signals with noise from the samples supplied by the analog to digital convertor (ADC)
connected to the FPGA.
2. Data and assumptions
• The pulse width varies. However, a width of 100 ns is a good estimate. The signal rise time varies from
5 ns to 20 ns. The fall time varies from 50 ns to 120 ns
• The amplitude of the signal is varying 0.7 uA to 1.3 uA
• The individual signals might overlap resulting in a single larger pulse.
• The signals will be affected by noise, which is also random in nature.
• The occurrence of signals follows a Poisson distribution.
• There is almost no overlap of signals for a frequency of less than 104
Hz. Beyond this, pulses will
overlap. Due to this, the standard deviation will be proportional to the neutron flux, as per campbell
theorm (which is applicable to statistical random occurring, discrete overlapping incidents).
• At very high frequencies, the pulse over lap fully to give average DC current.
To solve the problems such as range, accuracy with the existing techniques of neutron detector instrumentation,
new techniques are investigated such as calculation of the area under the signal pattern (Curve).
Presently software simulation is completed to find out the relation between the above frequency parameters and
the incident neutron flux. Hardware simulation is being carried out. Finally FPGA based simple embedded
systems will be made for need of real time high computation required.
3. APPROACH
3.1 INITIAL STAGES
At first, sample signals were generated to mimic the neutron flux signals in terms of rise time, fall time, overlap,
noise etc. From this signal mathematical functions such as average, variance and area were calculated.
While considering the overlap, a linear relationship was taken. For each decade starting from 10^4 Hz, a 20%
overlap was considered upto 10^9 Hz.
Innovative Systems Design and Engineering www.iiste.org
ISSN 2222-1727 (Paper) ISSN 2222-2871 (Online)
Vol.4, No.8, 2013
31
Initially samples were run for constant overlap. After the appropriate mathematical function was obtained, it was
extended to random amplitude and to noise.
Functions considered:
1) Average
We can see that for average, a straight line relation is obtained with frequency in case of both no overlap and
overlap in signals.
There is no discrepancy from the straight line at any frequency. However, calculation with such precision will
require very high sampling rates at the order of GHz. Hence, we search for a better option
Fig 1: plot of average value of neutron flux vs. frequency
2) Variance
For variance, at lower frequencies and no overlap, we get a straight line relationship. However as the frequency
and overlap increase, there is an exponential variation.
We also see that the rise of variance with frequency is sudden and large making it harder to distinguish between
the higher frequencies. Hence, this is not the best method to follow as accuracy will be low.
Fig 2: plot of variance of neutron flux vs. frequency
3) Area under curve
For area under curve we see that like variance and average, there is a straight line relationship at lower frequency
and in the absence of overlap. However, with increase in frequency and overlap, the area under curve increases
polynomially.
We can see that at each level of overlap, the frequencies follow a linear pattern. Overall, when we look at the
curve, we see the increase is more gradual and a more distinguishable pattern is observed.
Innovative Systems Design and Engineering
ISSN 2222-1727 (Paper) ISSN 2222-2871 (Online
Vol.4, No.8, 2013
Fig 3: plot of area under curve of neutron flu
A clearer pattern is observed, when the curve is spilt into different portions and more values are taken in each
range.
Hence, we decide to go ahead with area under curve as it is best suited for the situation.
Now we tried the pattern for random amplitude.
Initially we taook only 3 amplitudes at 0.7, 1 and 1.3 times the constant amplitude considered (1V)
Fig 4. Area under curve vs. frequency (for amplitude of 0.7, 1, 1.3 V)
We see that due to the randomness in amplitude, the patter
waveform.
However, if we take this further and completely the randomize the amplitude to all values between 0.7 to 1.3 ( a
3 sigma Poisson variation), we notice that the amplitudes average out to give a
This linear pattern is observed at all frequencies. However the error is lower at higher frequencies because more
the pulses, higher are the chances of the averaging of the values to the mean level.
2871 (Online)
32
Fig 3: plot of area under curve of neutron flux vs. frequency
A clearer pattern is observed, when the curve is spilt into different portions and more values are taken in each
Hence, we decide to go ahead with area under curve as it is best suited for the situation.
for random amplitude.
only 3 amplitudes at 0.7, 1 and 1.3 times the constant amplitude considered (1V)
Fig 4. Area under curve vs. frequency (for amplitude of 0.7, 1, 1.3 V)
We see that due to the randomness in amplitude, the pattern immediately disappears and is replaced by a random
However, if we take this further and completely the randomize the amplitude to all values between 0.7 to 1.3 ( a
3 sigma Poisson variation), we notice that the amplitudes average out to give a linear pattern
This linear pattern is observed at all frequencies. However the error is lower at higher frequencies because more
the pulses, higher are the chances of the averaging of the values to the mean level.
www.iiste.org
A clearer pattern is observed, when the curve is spilt into different portions and more values are taken in each
only 3 amplitudes at 0.7, 1 and 1.3 times the constant amplitude considered (1V)
Fig 4. Area under curve vs. frequency (for amplitude of 0.7, 1, 1.3 V)
n immediately disappears and is replaced by a random
However, if we take this further and completely the randomize the amplitude to all values between 0.7 to 1.3 ( a
linear pattern
This linear pattern is observed at all frequencies. However the error is lower at higher frequencies because more
Innovative Systems Design and Engineering
ISSN 2222-1727 (Paper) ISSN 2222-2871 (Online
Vol.4, No.8, 2013
Fig 5. Area under curve vs. frequency
3.2 PATTERNS OBSERVED
Once area under curve was finalized as the function to be considered, more tests were run with multiple values in
all ranges. Pattern was identified for each range of frequency and ov
Fig 6. Area under curve vs. frequency (0 to 10^4 Hz)
Below are the plots obtained for each range. In the curve, the equation corresponding and the variation of points
from the plot are mentioned.
2871 (Online)
33
Fig 5. Area under curve vs. frequency (for completely randomized amplitude 0.7
Once area under curve was finalized as the function to be considered, more tests were run with multiple values in
all ranges. Pattern was identified for each range of frequency and overlap.
Fig 6. Area under curve vs. frequency (0 to 10^4 Hz)
Below are the plots obtained for each range. In the curve, the equation corresponding and the variation of points
www.iiste.org
(for completely randomized amplitude 0.7-1.3 V)
Once area under curve was finalized as the function to be considered, more tests were run with multiple values in
Below are the plots obtained for each range. In the curve, the equation corresponding and the variation of points
Innovative Systems Design and Engineering www.iiste.org
ISSN 2222-1727 (Paper) ISSN 2222-2871 (Online)
Vol.4, No.8, 2013
34
Innovative Systems Design and Engineering www.iiste.org
ISSN 2222-1727 (Paper) ISSN 2222-2871 (Online)
Vol.4, No.8, 2013
35
3.3 DEVELOPMENT OF CODE
After all patterns were identified, code was developed on VHDL for calculation of frequency from sampled
signal.
Value will be read from a text file containing 107
values per second. The output frequency will be stored in
another text file. A signal of ‘1’ is output if frequency is below threshold else ‘0’ is output.
The VHDL code for identifying mathematical, for sample signal generation, test values and for frequency
calculation is in Appendix.
Innovative Systems Design and Engineering www.iiste.org
ISSN 2222-1727 (Paper) ISSN 2222-2871 (Online)
Vol.4, No.8, 2013
36
On changing the values in the places commented in the code, sample signal is generated for any frequency and
corresponding value calculated by VHDL simulation is obtained.
4. RESULTS
Below are the results obtained from the simulation of the VHDL simulation.
Table 1 shows the value of frequency used for sample signal generation on matlab and the corresponding value
obtained from VHDL for constant amplitude, random amplitude and occurrence and signals with noise. We see
error increases slightly with very high overlap and frequency and is more in case of overlap with noise.
For constant amplitude, 80 more samples were taken, distributed equally in every decade, and tested to check
deviation.The average error comes to 2.50699%.
Below is the plot of obtained value vs. average value. We see the pattern is almost linear and there is very slight
deviation in pattern
Fig 16. Obtained frequency vs. actual frequency
5. CONCLUSIONS
We see that the frequency calculation for constant amplitude is almost accurate with very small error. The error
falls and then increases again at very high frequency.
For random amplitude, the error is larger at lower frequencies but reduces significantly at larger frequencies as
the amplitudes average out.
For signals with noise, the error is lower at lower frequencies but is high at higher frequencies. However, here
we have considered the noise to be mixed with the signal. In practicality, however, the noise will be separated
out first. Hence error will be significantly lower. In this project, noise signals were analysed using the same
pattern as constant amplitude case. If patterns for this are analysed like for the other cases, the error can be
decreased. Due to lack of time this was not attempted.
BIBLIOGRAPHY
1. Perry, Douglas 1998, VHDL by Examples, 3rd
edition, Singapore: Mc GrawHill
2. Bhasker, Jayaram, 1998, VHDL Primer, New Jersey: P T R Prentice Hall
3. Ashden, Peter J, 1990, The VHDL Cookbook, Ashenden Designs
4. Chu, Pong P, 2008, FPGA prototyping by VHDL examples, New Jersey: John Wiley & Sons Inc.
5. http://www.cs.umbc.edu/portal/help/VHDL/math_real.vhdl
6. http://www.velocityreviews.com/forums/t22430-random-number-generator.html
7. http://verificationguild.com/dload/utils/vhdl/random1.vhd
8. http://www.freemodelfoundry.com/converters_vhdl.php
9. http://www.jjmk.dk/MMMI/Exercises/05_Counters_Shreg/No7_PWM_vs_SigmaDelta/index.htm
10. Singh, Om Pal, 2007, Interfacing Analog to Digital Converters to FPGAs
Innovative Systems Design and Engineering www.iiste.org
ISSN 2222-1727 (Paper) ISSN 2222-2871 (Online)
Vol.4, No.8, 2013
37
Table I: Values obtained for random test samples
Test
Frequency
With Constant
amplitude
% error With Random
amplitude
% error With noise % error
3 2.774 7.66 2.48 17.3 2.77 7.5
70 66.59 4.8 65.39 6.5 66.6 4.87
800 761.9 4.76 759.2 5.09 763 4.68
3300 3142. 4.78 3144 4.69 3140 4.75
22000 21703 1.34 20992 4.58 19300 12
864000 856085 0.915 823105 4.73 757000 12.4
6123400 5428491 11.3 5832320 4.75 4560000 25
49200000 45283660 7.96 36200000 26
729000000 706756500 3.05 644000000 11.6
Annexure:
VHDL CODE
1) Constant amplitude
--for constant amplitude
library ieee;
use ieee.std_logic_1164.all;
use std.textio.all;
use ieee.math_real.all;
entity area is
end area;
architecture freq_calc of area is
signal clk: std_logic;
begin
clockgen:process
begin
clk<='1';
wait for 1ns;
clk<='0';
wait for 1ns;
end process;
process
variable area:real:=0.0;
variable freq:real:=0.0;
FILE infile: TEXT is in "C:testsamples_const_9.txt"; --enter file name with samples here
FILE outfile: TEXT is out "C:/test/freq9.txt"; --enter file name to store result here
variable in_val,out_val:line;
variable val:real;
variable a:real:=0.0;
variable b:real;
variable c:real;
variable d:real;
variable flag:integer:=0;
variable check: std_logic:='0';
Innovative Systems Design and Engineering www.iiste.org
ISSN 2222-1727 (Paper) ISSN 2222-2871 (Online)
Vol.4, No.8, 2013
38
begin
wait until clk'EVENT and clk='1';
while not(endfile(infile)) loop
check:='1';
readline(infile,in_val); -- each line from file being read
read(in_val,val); -- value from each line being read
area:=area+val*1.0E-7; -- area being calculated.
wait until clk'EVENT and clk='1'; --sampling time=10^-7 seconds
end loop;
if (area<0.00756) then --frequency calculation
freq:=(area-5.0E-9)/(6.0E-8);
elsif(area<0.625) then
a:=5.0E-15;
b:=1.0E-7;
c:=-0.0034-area;
d:=b**2-4.0*a*c;
freq:=(-b+sqrt(d))/(2.0*a);
elsif(area<13.1) then
a:=2.0E-15;
b:=2.0E-7;
c:=-0.3114-area;
d:=b**2-4.0*a*c;
freq:=(-b+sqrt(d))/(2.0*a);
elsif (area<306.0) then
a:=1.0E-15;
b:=1.0E-7;
c:=7.4409-area;
d:=b**2-4.0*a*c;
freq:=(-b+sqrt(d))/(2.0*a);
elsif (area<386.103) then
freq:=(area+1.0962)/(8.0E-7);
elsif (area<498.0) then
freq:=(area+1.1679)/(9.0E-7);
elsif (area<671.0) then
freq:=(area+0.9811)/(1.0E-6);
elsif (area<950.0) then
freq:=(area+0.9252)/(1.0E-6);
elsif (area<1532.0) then
freq:=(area+0.6058)/(2.0E-6);
else
freq:=(area+0.3535)/(4.0E-6);
end if;
wait until clk'EVENT and clk='1';
write(out_val,freq); --writing value into file
writeline(outfile,out_val);
wait ;
Innovative Systems Design and Engineering www.iiste.org
ISSN 2222-1727 (Paper) ISSN 2222-2871 (Online)
Vol.4, No.8, 2013
39
end process;
end freq_calc;
2) For randomized amplitude
--for randomly varying amplitude
--solving linear equation
library ieee;
use ieee.std_logic_1164.all;
use std.textio.all;
entity area is
end area;
architecture freq_calc of area is
signal clk: std_logic;
begin
clockgen:process
begin
clk<='1';
wait for 1ns;
clk<='0';
wait for 1ns;
end process;
process
variable area:real:=0.0;
variable freq:real:=0.0;
FILE infile: TEXT is in "C:testsamples_rand_8.txt";--enter file with ADC samples here
FILE outfile: TEXT is out "C:/test/freq_r_8.txt"; --enter file to store results here
variable in_val,out_val:line;
variable val:real;
variable check: std_logic:='0';
begin
wait until clk'EVENT and clk='1';
while not(endfile(infile)) loop
check:='1';
readline(infile,in_val);
read(in_val,val);
area:=area+val*(1.0E-7); --area calculation
wait until clk'EVENT and clk='1';
end loop;
freq:=(area-2.0E-8)/(6.0E-8); --frequency calculation
wait until clk'EVENT and clk='1';
write(out_val,freq);
writeline(outfile,out_val);
wait;
end process;
end freq_calc;
3) For signals with noise
--for constant amplitude
--with noise
library ieee;
Innovative Systems Design and Engineering www.iiste.org
ISSN 2222-1727 (Paper) ISSN 2222-2871 (Online)
Vol.4, No.8, 2013
40
use ieee.std_logic_1164.all;
use std.textio.all;
use ieee.math_real.all;
entity area is
end area;
architecture freq_calc of area is
signal clk: std_logic;
begin
clockgen:process
begin
clk<='1';
wait for 1ns;
clk<='0';
wait for 1ns;
end process;
process
variable area:real:=0.0;
variable freq:real:=0.0;
FILE infile: TEXT is in "H:testresult81.txt"; --enter file name with samples here
FILE outfile: TEXT is out "H:/test/a81.txt"; -- enter file to store results here
variable in_val,out_val:line;
variable val:real;
variable a:real:=0.0;
variable b:real;
variable c:real;
variable d:real;
variable flag:integer:=0;
variable check: std_logic:='0';
begin
wait until clk'EVENT and clk='1';
while not(endfile(infile)) loop
check:='1';
readline(infile,in_val);
read(in_val,val);
area:=area+val*1.0E-7; --sampling interval of 10^-7 seconds
wait until clk'EVENT and clk='1';
end loop;
area:=area*2.0/3.0
if (area<0.00756) then --frequency calculation
freq:=(area-5.0E-9)/(6.0E-8);
elsif(area<0.625) then
a:=5.0E-15;
b:=1.0E-7;
c:=-0.0034-area;
d:=b**2-4.0*a*c;
freq:=(-b+sqrt(d))/(2.0*a);
elsif(area<13.1) then
a:=2.0E-15;
b:=2.0E-7;
c:=-0.3114-area;
Innovative Systems Design and Engineering www.iiste.org
ISSN 2222-1727 (Paper) ISSN 2222-2871 (Online)
Vol.4, No.8, 2013
41
d:=b**2-4.0*a*c;
freq:=(-b+sqrt(d))/(2.0*a);
elsif (area<306.0) then
a:=1.0E-15;
b:=1.0E-7;
c:=7.4409-area;
d:=b**2-4.0*a*c;
freq:=(-b+sqrt(d))/(2.0*a);
elsif (area<386.103) then
freq:=(area+1.0962)/(8.0E-7);
elsif (area<498.0) then
freq:=(area+1.1679)/(9.0E-7);
elsif (area<671.0) then
freq:=(area+0.9811)/(1.0E-6);
elsif (area<950.0) then
freq:=(area+0.9252)/(1.0E-6);
elsif (area<1532.0) then
freq:=(area+0.6058)/(2.0E-6);
else
freq:=(area+0.3535)/(4.0E-6);
end if;
wait until clk'EVENT and clk='1';
write(out_val,freq); --writing value into file
writeline(outfile,out_val);
wait ;
end process;
end freq_calc;
This academic article was published by The International Institute for Science,
Technology and Education (IISTE). The IISTE is a pioneer in the Open Access
Publishing service based in the U.S. and Europe. The aim of the institute is
Accelerating Global Knowledge Sharing.
More information about the publisher can be found in the IISTE’s homepage:
http://www.iiste.org
CALL FOR PAPERS
The IISTE is currently hosting more than 30 peer-reviewed academic journals and
collaborating with academic institutions around the world. There’s no deadline for
submission. Prospective authors of IISTE journals can find the submission
instruction on the following page: http://www.iiste.org/Journals/
The IISTE editorial team promises to the review and publish all the qualified
submissions in a fast manner. All the journals articles are available online to the
readers all over the world without financial, legal, or technical barriers other than
those inseparable from gaining access to the internet itself. Printed version of the
journals is also available upon request of readers and authors.
IISTE Knowledge Sharing Partners
EBSCO, Index Copernicus, Ulrich's Periodicals Directory, JournalTOCS, PKP Open
Archives Harvester, Bielefeld Academic Search Engine, Elektronische
Zeitschriftenbibliothek EZB, Open J-Gate, OCLC WorldCat, Universe Digtial
Library , NewJour, Google Scholar

More Related Content

What's hot

All optical image processing using third harmonic generation
All optical image processing using third harmonic generationAll optical image processing using third harmonic generation
All optical image processing using third harmonic generationM. Faisal Halim
 
A FAST FAULT TOLERANT PARTITIONING ALGORITHM FOR WIRELESS SENSOR NETWORKS
A FAST FAULT TOLERANT PARTITIONING ALGORITHM FOR WIRELESS SENSOR NETWORKSA FAST FAULT TOLERANT PARTITIONING ALGORITHM FOR WIRELESS SENSOR NETWORKS
A FAST FAULT TOLERANT PARTITIONING ALGORITHM FOR WIRELESS SENSOR NETWORKScsandit
 
Optical interferometery to detect sound waves as an analogue for gravitationa...
Optical interferometery to detect sound waves as an analogue for gravitationa...Optical interferometery to detect sound waves as an analogue for gravitationa...
Optical interferometery to detect sound waves as an analogue for gravitationa...Thomas Actn
 
Development of Nonlinear Optical Microscope and Scanner
Development of Nonlinear Optical Microscope and ScannerDevelopment of Nonlinear Optical Microscope and Scanner
Development of Nonlinear Optical Microscope and ScannerRohan Sharma
 
Security based Clock Synchronization technique in Wireless Sensor Network for...
Security based Clock Synchronization technique in Wireless Sensor Network for...Security based Clock Synchronization technique in Wireless Sensor Network for...
Security based Clock Synchronization technique in Wireless Sensor Network for...iosrjce
 
Optimum Sensor Node Localization in Wireless Sensor Networks
Optimum Sensor Node Localization in Wireless Sensor NetworksOptimum Sensor Node Localization in Wireless Sensor Networks
Optimum Sensor Node Localization in Wireless Sensor Networkspaperpublications3
 
Data Communication And Networking - DATA & SIGNALS
Data Communication And Networking - DATA & SIGNALSData Communication And Networking - DATA & SIGNALS
Data Communication And Networking - DATA & SIGNALSAvijeet Negel
 
ProceedingForBloisConf_StefanoPerasso
ProceedingForBloisConf_StefanoPerassoProceedingForBloisConf_StefanoPerasso
ProceedingForBloisConf_StefanoPerassoStefano Perasso
 
Analytical Midterm nov-2011
Analytical Midterm nov-2011Analytical Midterm nov-2011
Analytical Midterm nov-2011cairo university
 
Understanding Noise Figure
Understanding Noise FigureUnderstanding Noise Figure
Understanding Noise FigureMostafa Ali
 
Compact Coding Using Multi-Photon Tolerant Quantum Protocols For Quantum Comm...
Compact Coding Using Multi-Photon Tolerant Quantum Protocols For Quantum Comm...Compact Coding Using Multi-Photon Tolerant Quantum Protocols For Quantum Comm...
Compact Coding Using Multi-Photon Tolerant Quantum Protocols For Quantum Comm...ijcisjournal
 
A. De Simone: The Quest for Dark Matter: Update and News
A. De Simone: The Quest for Dark Matter: Update and NewsA. De Simone: The Quest for Dark Matter: Update and News
A. De Simone: The Quest for Dark Matter: Update and NewsSEENET-MTP
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)IJERD Editor
 
Noise basics and its modelling
Noise basics and its modellingNoise basics and its modelling
Noise basics and its modellingDr Naim R Kidwai
 
Noise in communication system
Noise in communication systemNoise in communication system
Noise in communication systemfirdous006
 

What's hot (19)

All optical image processing using third harmonic generation
All optical image processing using third harmonic generationAll optical image processing using third harmonic generation
All optical image processing using third harmonic generation
 
Max_Poster_FINAL
Max_Poster_FINALMax_Poster_FINAL
Max_Poster_FINAL
 
A FAST FAULT TOLERANT PARTITIONING ALGORITHM FOR WIRELESS SENSOR NETWORKS
A FAST FAULT TOLERANT PARTITIONING ALGORITHM FOR WIRELESS SENSOR NETWORKSA FAST FAULT TOLERANT PARTITIONING ALGORITHM FOR WIRELESS SENSOR NETWORKS
A FAST FAULT TOLERANT PARTITIONING ALGORITHM FOR WIRELESS SENSOR NETWORKS
 
Optical interferometery to detect sound waves as an analogue for gravitationa...
Optical interferometery to detect sound waves as an analogue for gravitationa...Optical interferometery to detect sound waves as an analogue for gravitationa...
Optical interferometery to detect sound waves as an analogue for gravitationa...
 
Development of Nonlinear Optical Microscope and Scanner
Development of Nonlinear Optical Microscope and ScannerDevelopment of Nonlinear Optical Microscope and Scanner
Development of Nonlinear Optical Microscope and Scanner
 
Security based Clock Synchronization technique in Wireless Sensor Network for...
Security based Clock Synchronization technique in Wireless Sensor Network for...Security based Clock Synchronization technique in Wireless Sensor Network for...
Security based Clock Synchronization technique in Wireless Sensor Network for...
 
Optimum Sensor Node Localization in Wireless Sensor Networks
Optimum Sensor Node Localization in Wireless Sensor NetworksOptimum Sensor Node Localization in Wireless Sensor Networks
Optimum Sensor Node Localization in Wireless Sensor Networks
 
Data Communication And Networking - DATA & SIGNALS
Data Communication And Networking - DATA & SIGNALSData Communication And Networking - DATA & SIGNALS
Data Communication And Networking - DATA & SIGNALS
 
ProceedingForBloisConf_StefanoPerasso
ProceedingForBloisConf_StefanoPerassoProceedingForBloisConf_StefanoPerasso
ProceedingForBloisConf_StefanoPerasso
 
Analytical Midterm nov-2011
Analytical Midterm nov-2011Analytical Midterm nov-2011
Analytical Midterm nov-2011
 
I04503075078
I04503075078I04503075078
I04503075078
 
Understanding Noise Figure
Understanding Noise FigureUnderstanding Noise Figure
Understanding Noise Figure
 
Bz02516281633
Bz02516281633Bz02516281633
Bz02516281633
 
10.1.1.118.4231
10.1.1.118.423110.1.1.118.4231
10.1.1.118.4231
 
Compact Coding Using Multi-Photon Tolerant Quantum Protocols For Quantum Comm...
Compact Coding Using Multi-Photon Tolerant Quantum Protocols For Quantum Comm...Compact Coding Using Multi-Photon Tolerant Quantum Protocols For Quantum Comm...
Compact Coding Using Multi-Photon Tolerant Quantum Protocols For Quantum Comm...
 
A. De Simone: The Quest for Dark Matter: Update and News
A. De Simone: The Quest for Dark Matter: Update and NewsA. De Simone: The Quest for Dark Matter: Update and News
A. De Simone: The Quest for Dark Matter: Update and News
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)
 
Noise basics and its modelling
Noise basics and its modellingNoise basics and its modelling
Noise basics and its modelling
 
Noise in communication system
Noise in communication systemNoise in communication system
Noise in communication system
 

Similar to Development of fpga based system for neutron flux monitoring in fast breeder reactors

Senior Honors Research Thesis
Senior Honors Research ThesisSenior Honors Research Thesis
Senior Honors Research ThesisMichael McMearty
 
Signal to-noise-ratio of signal acquisition in global navigation satellite sy...
Signal to-noise-ratio of signal acquisition in global navigation satellite sy...Signal to-noise-ratio of signal acquisition in global navigation satellite sy...
Signal to-noise-ratio of signal acquisition in global navigation satellite sy...Alexander Decker
 
Magnetic Femtotesla Inductor Coil Sensor for ELF Noise Signals-( 0.1Hz to3.0 Hz)
Magnetic Femtotesla Inductor Coil Sensor for ELF Noise Signals-( 0.1Hz to3.0 Hz)Magnetic Femtotesla Inductor Coil Sensor for ELF Noise Signals-( 0.1Hz to3.0 Hz)
Magnetic Femtotesla Inductor Coil Sensor for ELF Noise Signals-( 0.1Hz to3.0 Hz)IOSR Journals
 
15.30 o4 c aguergaray
15.30 o4 c aguergaray15.30 o4 c aguergaray
15.30 o4 c aguergarayNZIP
 
An Overview of Array Signal Processing and Beam Forming TechniquesAn Overview...
An Overview of Array Signal Processing and Beam Forming TechniquesAn Overview...An Overview of Array Signal Processing and Beam Forming TechniquesAn Overview...
An Overview of Array Signal Processing and Beam Forming TechniquesAn Overview...Editor IJCATR
 
Performance analysis on the basis of a comparative study between multipath ra...
Performance analysis on the basis of a comparative study between multipath ra...Performance analysis on the basis of a comparative study between multipath ra...
Performance analysis on the basis of a comparative study between multipath ra...ijmnct
 
The Resultant Multi-Aquancy Phase And Amplitude Subactions...
The Resultant Multi-Aquancy Phase And Amplitude Subactions...The Resultant Multi-Aquancy Phase And Amplitude Subactions...
The Resultant Multi-Aquancy Phase And Amplitude Subactions...Pamela Wright
 
IRJET- Compressed Sensing based Modified Orthogonal Matching Pursuit in DTTV ...
IRJET- Compressed Sensing based Modified Orthogonal Matching Pursuit in DTTV ...IRJET- Compressed Sensing based Modified Orthogonal Matching Pursuit in DTTV ...
IRJET- Compressed Sensing based Modified Orthogonal Matching Pursuit in DTTV ...IRJET Journal
 
Energy Efficient Power Failure Diagonisis For Wireless Network Using Random G...
Energy Efficient Power Failure Diagonisis For Wireless Network Using Random G...Energy Efficient Power Failure Diagonisis For Wireless Network Using Random G...
Energy Efficient Power Failure Diagonisis For Wireless Network Using Random G...idescitation
 
Enhancement of New Channel Equalizer Using Adaptive Neuro Fuzzy Inference System
Enhancement of New Channel Equalizer Using Adaptive Neuro Fuzzy Inference SystemEnhancement of New Channel Equalizer Using Adaptive Neuro Fuzzy Inference System
Enhancement of New Channel Equalizer Using Adaptive Neuro Fuzzy Inference SystemIOSR Journals
 
Enhancement of New Channel Equalizer Using Adaptive Neuro Fuzzy Inference System
Enhancement of New Channel Equalizer Using Adaptive Neuro Fuzzy Inference SystemEnhancement of New Channel Equalizer Using Adaptive Neuro Fuzzy Inference System
Enhancement of New Channel Equalizer Using Adaptive Neuro Fuzzy Inference SystemIOSR Journals
 
Comparative analysis on an exponential form of pulse with an integer and non-...
Comparative analysis on an exponential form of pulse with an integer and non-...Comparative analysis on an exponential form of pulse with an integer and non-...
Comparative analysis on an exponential form of pulse with an integer and non-...IJERA Editor
 
Accurate Evaluation of Interharmonics of a Six Pulse, Full Wave - Three Phase...
Accurate Evaluation of Interharmonics of a Six Pulse, Full Wave - Three Phase...Accurate Evaluation of Interharmonics of a Six Pulse, Full Wave - Three Phase...
Accurate Evaluation of Interharmonics of a Six Pulse, Full Wave - Three Phase...idescitation
 
Spectrum Sensing Detection with Sequential Forward Search in Comparison to Kn...
Spectrum Sensing Detection with Sequential Forward Search in Comparison to Kn...Spectrum Sensing Detection with Sequential Forward Search in Comparison to Kn...
Spectrum Sensing Detection with Sequential Forward Search in Comparison to Kn...IJMTST Journal
 
Luigi Giubbolini | Time/Space-Probing Interferometer for Plasma Diagnostics
Luigi Giubbolini  | Time/Space-Probing Interferometer for Plasma DiagnosticsLuigi Giubbolini  | Time/Space-Probing Interferometer for Plasma Diagnostics
Luigi Giubbolini | Time/Space-Probing Interferometer for Plasma DiagnosticsLuigi Giubbolini
 

Similar to Development of fpga based system for neutron flux monitoring in fast breeder reactors (20)

Senior Honors Research Thesis
Senior Honors Research ThesisSenior Honors Research Thesis
Senior Honors Research Thesis
 
Signal to-noise-ratio of signal acquisition in global navigation satellite sy...
Signal to-noise-ratio of signal acquisition in global navigation satellite sy...Signal to-noise-ratio of signal acquisition in global navigation satellite sy...
Signal to-noise-ratio of signal acquisition in global navigation satellite sy...
 
C4_S2_G8 (1).pdf
C4_S2_G8  (1).pdfC4_S2_G8  (1).pdf
C4_S2_G8 (1).pdf
 
C4_S2_G8 .pdf
C4_S2_G8 .pdfC4_S2_G8 .pdf
C4_S2_G8 .pdf
 
Magnetic Femtotesla Inductor Coil Sensor for ELF Noise Signals-( 0.1Hz to3.0 Hz)
Magnetic Femtotesla Inductor Coil Sensor for ELF Noise Signals-( 0.1Hz to3.0 Hz)Magnetic Femtotesla Inductor Coil Sensor for ELF Noise Signals-( 0.1Hz to3.0 Hz)
Magnetic Femtotesla Inductor Coil Sensor for ELF Noise Signals-( 0.1Hz to3.0 Hz)
 
15.30 o4 c aguergaray
15.30 o4 c aguergaray15.30 o4 c aguergaray
15.30 o4 c aguergaray
 
An Overview of Array Signal Processing and Beam Forming TechniquesAn Overview...
An Overview of Array Signal Processing and Beam Forming TechniquesAn Overview...An Overview of Array Signal Processing and Beam Forming TechniquesAn Overview...
An Overview of Array Signal Processing and Beam Forming TechniquesAn Overview...
 
Performance analysis on the basis of a comparative study between multipath ra...
Performance analysis on the basis of a comparative study between multipath ra...Performance analysis on the basis of a comparative study between multipath ra...
Performance analysis on the basis of a comparative study between multipath ra...
 
The Resultant Multi-Aquancy Phase And Amplitude Subactions...
The Resultant Multi-Aquancy Phase And Amplitude Subactions...The Resultant Multi-Aquancy Phase And Amplitude Subactions...
The Resultant Multi-Aquancy Phase And Amplitude Subactions...
 
IRJET- Compressed Sensing based Modified Orthogonal Matching Pursuit in DTTV ...
IRJET- Compressed Sensing based Modified Orthogonal Matching Pursuit in DTTV ...IRJET- Compressed Sensing based Modified Orthogonal Matching Pursuit in DTTV ...
IRJET- Compressed Sensing based Modified Orthogonal Matching Pursuit in DTTV ...
 
REU_paper
REU_paperREU_paper
REU_paper
 
Energy Efficient Power Failure Diagonisis For Wireless Network Using Random G...
Energy Efficient Power Failure Diagonisis For Wireless Network Using Random G...Energy Efficient Power Failure Diagonisis For Wireless Network Using Random G...
Energy Efficient Power Failure Diagonisis For Wireless Network Using Random G...
 
Enhancement of New Channel Equalizer Using Adaptive Neuro Fuzzy Inference System
Enhancement of New Channel Equalizer Using Adaptive Neuro Fuzzy Inference SystemEnhancement of New Channel Equalizer Using Adaptive Neuro Fuzzy Inference System
Enhancement of New Channel Equalizer Using Adaptive Neuro Fuzzy Inference System
 
Enhancement of New Channel Equalizer Using Adaptive Neuro Fuzzy Inference System
Enhancement of New Channel Equalizer Using Adaptive Neuro Fuzzy Inference SystemEnhancement of New Channel Equalizer Using Adaptive Neuro Fuzzy Inference System
Enhancement of New Channel Equalizer Using Adaptive Neuro Fuzzy Inference System
 
Comparative analysis on an exponential form of pulse with an integer and non-...
Comparative analysis on an exponential form of pulse with an integer and non-...Comparative analysis on an exponential form of pulse with an integer and non-...
Comparative analysis on an exponential form of pulse with an integer and non-...
 
Accurate Evaluation of Interharmonics of a Six Pulse, Full Wave - Three Phase...
Accurate Evaluation of Interharmonics of a Six Pulse, Full Wave - Three Phase...Accurate Evaluation of Interharmonics of a Six Pulse, Full Wave - Three Phase...
Accurate Evaluation of Interharmonics of a Six Pulse, Full Wave - Three Phase...
 
EC6651 COMMUNICATION ENGINEERING UNIT 2
EC6651 COMMUNICATION ENGINEERING UNIT 2EC6651 COMMUNICATION ENGINEERING UNIT 2
EC6651 COMMUNICATION ENGINEERING UNIT 2
 
Plugin roelens2006
Plugin roelens2006Plugin roelens2006
Plugin roelens2006
 
Spectrum Sensing Detection with Sequential Forward Search in Comparison to Kn...
Spectrum Sensing Detection with Sequential Forward Search in Comparison to Kn...Spectrum Sensing Detection with Sequential Forward Search in Comparison to Kn...
Spectrum Sensing Detection with Sequential Forward Search in Comparison to Kn...
 
Luigi Giubbolini | Time/Space-Probing Interferometer for Plasma Diagnostics
Luigi Giubbolini  | Time/Space-Probing Interferometer for Plasma DiagnosticsLuigi Giubbolini  | Time/Space-Probing Interferometer for Plasma Diagnostics
Luigi Giubbolini | Time/Space-Probing Interferometer for Plasma Diagnostics
 

More from Alexander Decker

Abnormalities of hormones and inflammatory cytokines in women affected with p...
Abnormalities of hormones and inflammatory cytokines in women affected with p...Abnormalities of hormones and inflammatory cytokines in women affected with p...
Abnormalities of hormones and inflammatory cytokines in women affected with p...Alexander Decker
 
A validation of the adverse childhood experiences scale in
A validation of the adverse childhood experiences scale inA validation of the adverse childhood experiences scale in
A validation of the adverse childhood experiences scale inAlexander Decker
 
A usability evaluation framework for b2 c e commerce websites
A usability evaluation framework for b2 c e commerce websitesA usability evaluation framework for b2 c e commerce websites
A usability evaluation framework for b2 c e commerce websitesAlexander Decker
 
A universal model for managing the marketing executives in nigerian banks
A universal model for managing the marketing executives in nigerian banksA universal model for managing the marketing executives in nigerian banks
A universal model for managing the marketing executives in nigerian banksAlexander Decker
 
A unique common fixed point theorems in generalized d
A unique common fixed point theorems in generalized dA unique common fixed point theorems in generalized d
A unique common fixed point theorems in generalized dAlexander Decker
 
A trends of salmonella and antibiotic resistance
A trends of salmonella and antibiotic resistanceA trends of salmonella and antibiotic resistance
A trends of salmonella and antibiotic resistanceAlexander Decker
 
A transformational generative approach towards understanding al-istifham
A transformational  generative approach towards understanding al-istifhamA transformational  generative approach towards understanding al-istifham
A transformational generative approach towards understanding al-istifhamAlexander Decker
 
A time series analysis of the determinants of savings in namibia
A time series analysis of the determinants of savings in namibiaA time series analysis of the determinants of savings in namibia
A time series analysis of the determinants of savings in namibiaAlexander Decker
 
A therapy for physical and mental fitness of school children
A therapy for physical and mental fitness of school childrenA therapy for physical and mental fitness of school children
A therapy for physical and mental fitness of school childrenAlexander Decker
 
A theory of efficiency for managing the marketing executives in nigerian banks
A theory of efficiency for managing the marketing executives in nigerian banksA theory of efficiency for managing the marketing executives in nigerian banks
A theory of efficiency for managing the marketing executives in nigerian banksAlexander Decker
 
A systematic evaluation of link budget for
A systematic evaluation of link budget forA systematic evaluation of link budget for
A systematic evaluation of link budget forAlexander Decker
 
A synthetic review of contraceptive supplies in punjab
A synthetic review of contraceptive supplies in punjabA synthetic review of contraceptive supplies in punjab
A synthetic review of contraceptive supplies in punjabAlexander Decker
 
A synthesis of taylor’s and fayol’s management approaches for managing market...
A synthesis of taylor’s and fayol’s management approaches for managing market...A synthesis of taylor’s and fayol’s management approaches for managing market...
A synthesis of taylor’s and fayol’s management approaches for managing market...Alexander Decker
 
A survey paper on sequence pattern mining with incremental
A survey paper on sequence pattern mining with incrementalA survey paper on sequence pattern mining with incremental
A survey paper on sequence pattern mining with incrementalAlexander Decker
 
A survey on live virtual machine migrations and its techniques
A survey on live virtual machine migrations and its techniquesA survey on live virtual machine migrations and its techniques
A survey on live virtual machine migrations and its techniquesAlexander Decker
 
A survey on data mining and analysis in hadoop and mongo db
A survey on data mining and analysis in hadoop and mongo dbA survey on data mining and analysis in hadoop and mongo db
A survey on data mining and analysis in hadoop and mongo dbAlexander Decker
 
A survey on challenges to the media cloud
A survey on challenges to the media cloudA survey on challenges to the media cloud
A survey on challenges to the media cloudAlexander Decker
 
A survey of provenance leveraged
A survey of provenance leveragedA survey of provenance leveraged
A survey of provenance leveragedAlexander Decker
 
A survey of private equity investments in kenya
A survey of private equity investments in kenyaA survey of private equity investments in kenya
A survey of private equity investments in kenyaAlexander Decker
 
A study to measures the financial health of
A study to measures the financial health ofA study to measures the financial health of
A study to measures the financial health ofAlexander Decker
 

More from Alexander Decker (20)

Abnormalities of hormones and inflammatory cytokines in women affected with p...
Abnormalities of hormones and inflammatory cytokines in women affected with p...Abnormalities of hormones and inflammatory cytokines in women affected with p...
Abnormalities of hormones and inflammatory cytokines in women affected with p...
 
A validation of the adverse childhood experiences scale in
A validation of the adverse childhood experiences scale inA validation of the adverse childhood experiences scale in
A validation of the adverse childhood experiences scale in
 
A usability evaluation framework for b2 c e commerce websites
A usability evaluation framework for b2 c e commerce websitesA usability evaluation framework for b2 c e commerce websites
A usability evaluation framework for b2 c e commerce websites
 
A universal model for managing the marketing executives in nigerian banks
A universal model for managing the marketing executives in nigerian banksA universal model for managing the marketing executives in nigerian banks
A universal model for managing the marketing executives in nigerian banks
 
A unique common fixed point theorems in generalized d
A unique common fixed point theorems in generalized dA unique common fixed point theorems in generalized d
A unique common fixed point theorems in generalized d
 
A trends of salmonella and antibiotic resistance
A trends of salmonella and antibiotic resistanceA trends of salmonella and antibiotic resistance
A trends of salmonella and antibiotic resistance
 
A transformational generative approach towards understanding al-istifham
A transformational  generative approach towards understanding al-istifhamA transformational  generative approach towards understanding al-istifham
A transformational generative approach towards understanding al-istifham
 
A time series analysis of the determinants of savings in namibia
A time series analysis of the determinants of savings in namibiaA time series analysis of the determinants of savings in namibia
A time series analysis of the determinants of savings in namibia
 
A therapy for physical and mental fitness of school children
A therapy for physical and mental fitness of school childrenA therapy for physical and mental fitness of school children
A therapy for physical and mental fitness of school children
 
A theory of efficiency for managing the marketing executives in nigerian banks
A theory of efficiency for managing the marketing executives in nigerian banksA theory of efficiency for managing the marketing executives in nigerian banks
A theory of efficiency for managing the marketing executives in nigerian banks
 
A systematic evaluation of link budget for
A systematic evaluation of link budget forA systematic evaluation of link budget for
A systematic evaluation of link budget for
 
A synthetic review of contraceptive supplies in punjab
A synthetic review of contraceptive supplies in punjabA synthetic review of contraceptive supplies in punjab
A synthetic review of contraceptive supplies in punjab
 
A synthesis of taylor’s and fayol’s management approaches for managing market...
A synthesis of taylor’s and fayol’s management approaches for managing market...A synthesis of taylor’s and fayol’s management approaches for managing market...
A synthesis of taylor’s and fayol’s management approaches for managing market...
 
A survey paper on sequence pattern mining with incremental
A survey paper on sequence pattern mining with incrementalA survey paper on sequence pattern mining with incremental
A survey paper on sequence pattern mining with incremental
 
A survey on live virtual machine migrations and its techniques
A survey on live virtual machine migrations and its techniquesA survey on live virtual machine migrations and its techniques
A survey on live virtual machine migrations and its techniques
 
A survey on data mining and analysis in hadoop and mongo db
A survey on data mining and analysis in hadoop and mongo dbA survey on data mining and analysis in hadoop and mongo db
A survey on data mining and analysis in hadoop and mongo db
 
A survey on challenges to the media cloud
A survey on challenges to the media cloudA survey on challenges to the media cloud
A survey on challenges to the media cloud
 
A survey of provenance leveraged
A survey of provenance leveragedA survey of provenance leveraged
A survey of provenance leveraged
 
A survey of private equity investments in kenya
A survey of private equity investments in kenyaA survey of private equity investments in kenya
A survey of private equity investments in kenya
 
A study to measures the financial health of
A study to measures the financial health ofA study to measures the financial health of
A study to measures the financial health of
 

Recently uploaded

UWB Technology for Enhanced Indoor and Outdoor Positioning in Physiological M...
UWB Technology for Enhanced Indoor and Outdoor Positioning in Physiological M...UWB Technology for Enhanced Indoor and Outdoor Positioning in Physiological M...
UWB Technology for Enhanced Indoor and Outdoor Positioning in Physiological M...UbiTrack UK
 
Anypoint Code Builder , Google Pub sub connector and MuleSoft RPA
Anypoint Code Builder , Google Pub sub connector and MuleSoft RPAAnypoint Code Builder , Google Pub sub connector and MuleSoft RPA
Anypoint Code Builder , Google Pub sub connector and MuleSoft RPAshyamraj55
 
Linked Data in Production: Moving Beyond Ontologies
Linked Data in Production: Moving Beyond OntologiesLinked Data in Production: Moving Beyond Ontologies
Linked Data in Production: Moving Beyond OntologiesDavid Newbury
 
Using IESVE for Loads, Sizing and Heat Pump Modeling to Achieve Decarbonization
Using IESVE for Loads, Sizing and Heat Pump Modeling to Achieve DecarbonizationUsing IESVE for Loads, Sizing and Heat Pump Modeling to Achieve Decarbonization
Using IESVE for Loads, Sizing and Heat Pump Modeling to Achieve DecarbonizationIES VE
 
The Data Metaverse: Unpacking the Roles, Use Cases, and Tech Trends in Data a...
The Data Metaverse: Unpacking the Roles, Use Cases, and Tech Trends in Data a...The Data Metaverse: Unpacking the Roles, Use Cases, and Tech Trends in Data a...
The Data Metaverse: Unpacking the Roles, Use Cases, and Tech Trends in Data a...Aggregage
 
UiPath Studio Web workshop series - Day 7
UiPath Studio Web workshop series - Day 7UiPath Studio Web workshop series - Day 7
UiPath Studio Web workshop series - Day 7DianaGray10
 
Artificial Intelligence & SEO Trends for 2024
Artificial Intelligence & SEO Trends for 2024Artificial Intelligence & SEO Trends for 2024
Artificial Intelligence & SEO Trends for 2024D Cloud Solutions
 
Cybersecurity Workshop #1.pptx
Cybersecurity Workshop #1.pptxCybersecurity Workshop #1.pptx
Cybersecurity Workshop #1.pptxGDSC PJATK
 
UiPath Studio Web workshop series - Day 6
UiPath Studio Web workshop series - Day 6UiPath Studio Web workshop series - Day 6
UiPath Studio Web workshop series - Day 6DianaGray10
 
AI You Can Trust - Ensuring Success with Data Integrity Webinar
AI You Can Trust - Ensuring Success with Data Integrity WebinarAI You Can Trust - Ensuring Success with Data Integrity Webinar
AI You Can Trust - Ensuring Success with Data Integrity WebinarPrecisely
 
How Accurate are Carbon Emissions Projections?
How Accurate are Carbon Emissions Projections?How Accurate are Carbon Emissions Projections?
How Accurate are Carbon Emissions Projections?IES VE
 
OpenShift Commons Paris - Choose Your Own Observability Adventure
OpenShift Commons Paris - Choose Your Own Observability AdventureOpenShift Commons Paris - Choose Your Own Observability Adventure
OpenShift Commons Paris - Choose Your Own Observability AdventureEric D. Schabell
 
Computer 10: Lesson 10 - Online Crimes and Hazards
Computer 10: Lesson 10 - Online Crimes and HazardsComputer 10: Lesson 10 - Online Crimes and Hazards
Computer 10: Lesson 10 - Online Crimes and HazardsSeth Reyes
 
Machine Learning Model Validation (Aijun Zhang 2024).pdf
Machine Learning Model Validation (Aijun Zhang 2024).pdfMachine Learning Model Validation (Aijun Zhang 2024).pdf
Machine Learning Model Validation (Aijun Zhang 2024).pdfAijun Zhang
 
Empowering Africa's Next Generation: The AI Leadership Blueprint
Empowering Africa's Next Generation: The AI Leadership BlueprintEmpowering Africa's Next Generation: The AI Leadership Blueprint
Empowering Africa's Next Generation: The AI Leadership BlueprintMahmoud Rabie
 
Connector Corner: Extending LLM automation use cases with UiPath GenAI connec...
Connector Corner: Extending LLM automation use cases with UiPath GenAI connec...Connector Corner: Extending LLM automation use cases with UiPath GenAI connec...
Connector Corner: Extending LLM automation use cases with UiPath GenAI connec...DianaGray10
 
IESVE Software for Florida Code Compliance Using ASHRAE 90.1-2019
IESVE Software for Florida Code Compliance Using ASHRAE 90.1-2019IESVE Software for Florida Code Compliance Using ASHRAE 90.1-2019
IESVE Software for Florida Code Compliance Using ASHRAE 90.1-2019IES VE
 
activity_diagram_combine_v4_20190827.pdfactivity_diagram_combine_v4_20190827.pdf
activity_diagram_combine_v4_20190827.pdfactivity_diagram_combine_v4_20190827.pdfactivity_diagram_combine_v4_20190827.pdfactivity_diagram_combine_v4_20190827.pdf
activity_diagram_combine_v4_20190827.pdfactivity_diagram_combine_v4_20190827.pdfJamie (Taka) Wang
 

Recently uploaded (20)

UWB Technology for Enhanced Indoor and Outdoor Positioning in Physiological M...
UWB Technology for Enhanced Indoor and Outdoor Positioning in Physiological M...UWB Technology for Enhanced Indoor and Outdoor Positioning in Physiological M...
UWB Technology for Enhanced Indoor and Outdoor Positioning in Physiological M...
 
Anypoint Code Builder , Google Pub sub connector and MuleSoft RPA
Anypoint Code Builder , Google Pub sub connector and MuleSoft RPAAnypoint Code Builder , Google Pub sub connector and MuleSoft RPA
Anypoint Code Builder , Google Pub sub connector and MuleSoft RPA
 
Linked Data in Production: Moving Beyond Ontologies
Linked Data in Production: Moving Beyond OntologiesLinked Data in Production: Moving Beyond Ontologies
Linked Data in Production: Moving Beyond Ontologies
 
Using IESVE for Loads, Sizing and Heat Pump Modeling to Achieve Decarbonization
Using IESVE for Loads, Sizing and Heat Pump Modeling to Achieve DecarbonizationUsing IESVE for Loads, Sizing and Heat Pump Modeling to Achieve Decarbonization
Using IESVE for Loads, Sizing and Heat Pump Modeling to Achieve Decarbonization
 
The Data Metaverse: Unpacking the Roles, Use Cases, and Tech Trends in Data a...
The Data Metaverse: Unpacking the Roles, Use Cases, and Tech Trends in Data a...The Data Metaverse: Unpacking the Roles, Use Cases, and Tech Trends in Data a...
The Data Metaverse: Unpacking the Roles, Use Cases, and Tech Trends in Data a...
 
UiPath Studio Web workshop series - Day 7
UiPath Studio Web workshop series - Day 7UiPath Studio Web workshop series - Day 7
UiPath Studio Web workshop series - Day 7
 
Artificial Intelligence & SEO Trends for 2024
Artificial Intelligence & SEO Trends for 2024Artificial Intelligence & SEO Trends for 2024
Artificial Intelligence & SEO Trends for 2024
 
20230104 - machine vision
20230104 - machine vision20230104 - machine vision
20230104 - machine vision
 
Cybersecurity Workshop #1.pptx
Cybersecurity Workshop #1.pptxCybersecurity Workshop #1.pptx
Cybersecurity Workshop #1.pptx
 
UiPath Studio Web workshop series - Day 6
UiPath Studio Web workshop series - Day 6UiPath Studio Web workshop series - Day 6
UiPath Studio Web workshop series - Day 6
 
AI You Can Trust - Ensuring Success with Data Integrity Webinar
AI You Can Trust - Ensuring Success with Data Integrity WebinarAI You Can Trust - Ensuring Success with Data Integrity Webinar
AI You Can Trust - Ensuring Success with Data Integrity Webinar
 
How Accurate are Carbon Emissions Projections?
How Accurate are Carbon Emissions Projections?How Accurate are Carbon Emissions Projections?
How Accurate are Carbon Emissions Projections?
 
OpenShift Commons Paris - Choose Your Own Observability Adventure
OpenShift Commons Paris - Choose Your Own Observability AdventureOpenShift Commons Paris - Choose Your Own Observability Adventure
OpenShift Commons Paris - Choose Your Own Observability Adventure
 
Computer 10: Lesson 10 - Online Crimes and Hazards
Computer 10: Lesson 10 - Online Crimes and HazardsComputer 10: Lesson 10 - Online Crimes and Hazards
Computer 10: Lesson 10 - Online Crimes and Hazards
 
Machine Learning Model Validation (Aijun Zhang 2024).pdf
Machine Learning Model Validation (Aijun Zhang 2024).pdfMachine Learning Model Validation (Aijun Zhang 2024).pdf
Machine Learning Model Validation (Aijun Zhang 2024).pdf
 
Empowering Africa's Next Generation: The AI Leadership Blueprint
Empowering Africa's Next Generation: The AI Leadership BlueprintEmpowering Africa's Next Generation: The AI Leadership Blueprint
Empowering Africa's Next Generation: The AI Leadership Blueprint
 
Connector Corner: Extending LLM automation use cases with UiPath GenAI connec...
Connector Corner: Extending LLM automation use cases with UiPath GenAI connec...Connector Corner: Extending LLM automation use cases with UiPath GenAI connec...
Connector Corner: Extending LLM automation use cases with UiPath GenAI connec...
 
20150722 - AGV
20150722 - AGV20150722 - AGV
20150722 - AGV
 
IESVE Software for Florida Code Compliance Using ASHRAE 90.1-2019
IESVE Software for Florida Code Compliance Using ASHRAE 90.1-2019IESVE Software for Florida Code Compliance Using ASHRAE 90.1-2019
IESVE Software for Florida Code Compliance Using ASHRAE 90.1-2019
 
activity_diagram_combine_v4_20190827.pdfactivity_diagram_combine_v4_20190827.pdf
activity_diagram_combine_v4_20190827.pdfactivity_diagram_combine_v4_20190827.pdfactivity_diagram_combine_v4_20190827.pdfactivity_diagram_combine_v4_20190827.pdf
activity_diagram_combine_v4_20190827.pdfactivity_diagram_combine_v4_20190827.pdf
 

Development of fpga based system for neutron flux monitoring in fast breeder reactors

  • 1. Innovative Systems Design and Engineering www.iiste.org ISSN 2222-1727 (Paper) ISSN 2222-2871 (Online) Vol.4, No.8, 2013 30 Development of FPGA Based System for Neutron Flux Monitoring in Fast Breeder Reactors M.Sivaramakrishna, Dr. P.Chellapandi, IGCAR, Dr.S.V.G.Ravindranath (BARC), IGCAR, Kalpakkam, India (sivarama@igcar.gov.in) Abstract The project aims to calculate the frequency of the neutron flux by monitoring the signal from neutron detector from shutdown to full power over 10 decades. This neutron flux signal is input to the FPGA based MODULE. A mathematical relationship has been established between the neutron flux (frequency of the neutrons) and the area under the signal. Variable amplitude and occurrence have been accounted for. White noise has also been added and tested for. VHDL has been used to simplify the otherwise complicated logic gate design. Mathematical modeling has been used as it is the most accurate of the available methods. Index Terms -- Neutron flux monitoring, area, pulses 1. Need of the system Currently, neutron flux is monitored in all states of the reactor by Neutron flux monitoring systems. The system consists of several sets of detectors and instrument channels. For smooth transition from one set of instrument channel to other, interlocks with auto inhibition in safety logic are provided. In each channel, there is a trade-off between response time and accuracy. Volume of electronics involved is very high. In addition, the pulses from the neutron detector are not periodic. Hence counting techniques do not result in accurate prediction of frequency. It can also be seen that as the frequency goes up the pulses over lap. Hence estimating the power using pulse counting can’t predict the power correctly. Currently, the detector is operated in pulse and Campbell modes. Even in Campbell mode, there is a trade-off between the accuracy and response time and linearity is obtained only for 4 decades. FPGAs are currently the most user friendly and economically viable option for logic circuit design. They can be programmed to match user’s requirements. The work aims to find a relation between the frequency of the neutron flux signal and a mathematical function. The code designed will be able to calculate frequency for signals with constant amplitude, random amplitude, random occurrence and signals with noise from the samples supplied by the analog to digital convertor (ADC) connected to the FPGA. 2. Data and assumptions • The pulse width varies. However, a width of 100 ns is a good estimate. The signal rise time varies from 5 ns to 20 ns. The fall time varies from 50 ns to 120 ns • The amplitude of the signal is varying 0.7 uA to 1.3 uA • The individual signals might overlap resulting in a single larger pulse. • The signals will be affected by noise, which is also random in nature. • The occurrence of signals follows a Poisson distribution. • There is almost no overlap of signals for a frequency of less than 104 Hz. Beyond this, pulses will overlap. Due to this, the standard deviation will be proportional to the neutron flux, as per campbell theorm (which is applicable to statistical random occurring, discrete overlapping incidents). • At very high frequencies, the pulse over lap fully to give average DC current. To solve the problems such as range, accuracy with the existing techniques of neutron detector instrumentation, new techniques are investigated such as calculation of the area under the signal pattern (Curve). Presently software simulation is completed to find out the relation between the above frequency parameters and the incident neutron flux. Hardware simulation is being carried out. Finally FPGA based simple embedded systems will be made for need of real time high computation required. 3. APPROACH 3.1 INITIAL STAGES At first, sample signals were generated to mimic the neutron flux signals in terms of rise time, fall time, overlap, noise etc. From this signal mathematical functions such as average, variance and area were calculated. While considering the overlap, a linear relationship was taken. For each decade starting from 10^4 Hz, a 20% overlap was considered upto 10^9 Hz.
  • 2. Innovative Systems Design and Engineering www.iiste.org ISSN 2222-1727 (Paper) ISSN 2222-2871 (Online) Vol.4, No.8, 2013 31 Initially samples were run for constant overlap. After the appropriate mathematical function was obtained, it was extended to random amplitude and to noise. Functions considered: 1) Average We can see that for average, a straight line relation is obtained with frequency in case of both no overlap and overlap in signals. There is no discrepancy from the straight line at any frequency. However, calculation with such precision will require very high sampling rates at the order of GHz. Hence, we search for a better option Fig 1: plot of average value of neutron flux vs. frequency 2) Variance For variance, at lower frequencies and no overlap, we get a straight line relationship. However as the frequency and overlap increase, there is an exponential variation. We also see that the rise of variance with frequency is sudden and large making it harder to distinguish between the higher frequencies. Hence, this is not the best method to follow as accuracy will be low. Fig 2: plot of variance of neutron flux vs. frequency 3) Area under curve For area under curve we see that like variance and average, there is a straight line relationship at lower frequency and in the absence of overlap. However, with increase in frequency and overlap, the area under curve increases polynomially. We can see that at each level of overlap, the frequencies follow a linear pattern. Overall, when we look at the curve, we see the increase is more gradual and a more distinguishable pattern is observed.
  • 3. Innovative Systems Design and Engineering ISSN 2222-1727 (Paper) ISSN 2222-2871 (Online Vol.4, No.8, 2013 Fig 3: plot of area under curve of neutron flu A clearer pattern is observed, when the curve is spilt into different portions and more values are taken in each range. Hence, we decide to go ahead with area under curve as it is best suited for the situation. Now we tried the pattern for random amplitude. Initially we taook only 3 amplitudes at 0.7, 1 and 1.3 times the constant amplitude considered (1V) Fig 4. Area under curve vs. frequency (for amplitude of 0.7, 1, 1.3 V) We see that due to the randomness in amplitude, the patter waveform. However, if we take this further and completely the randomize the amplitude to all values between 0.7 to 1.3 ( a 3 sigma Poisson variation), we notice that the amplitudes average out to give a This linear pattern is observed at all frequencies. However the error is lower at higher frequencies because more the pulses, higher are the chances of the averaging of the values to the mean level. 2871 (Online) 32 Fig 3: plot of area under curve of neutron flux vs. frequency A clearer pattern is observed, when the curve is spilt into different portions and more values are taken in each Hence, we decide to go ahead with area under curve as it is best suited for the situation. for random amplitude. only 3 amplitudes at 0.7, 1 and 1.3 times the constant amplitude considered (1V) Fig 4. Area under curve vs. frequency (for amplitude of 0.7, 1, 1.3 V) We see that due to the randomness in amplitude, the pattern immediately disappears and is replaced by a random However, if we take this further and completely the randomize the amplitude to all values between 0.7 to 1.3 ( a 3 sigma Poisson variation), we notice that the amplitudes average out to give a linear pattern This linear pattern is observed at all frequencies. However the error is lower at higher frequencies because more the pulses, higher are the chances of the averaging of the values to the mean level. www.iiste.org A clearer pattern is observed, when the curve is spilt into different portions and more values are taken in each only 3 amplitudes at 0.7, 1 and 1.3 times the constant amplitude considered (1V) Fig 4. Area under curve vs. frequency (for amplitude of 0.7, 1, 1.3 V) n immediately disappears and is replaced by a random However, if we take this further and completely the randomize the amplitude to all values between 0.7 to 1.3 ( a linear pattern This linear pattern is observed at all frequencies. However the error is lower at higher frequencies because more
  • 4. Innovative Systems Design and Engineering ISSN 2222-1727 (Paper) ISSN 2222-2871 (Online Vol.4, No.8, 2013 Fig 5. Area under curve vs. frequency 3.2 PATTERNS OBSERVED Once area under curve was finalized as the function to be considered, more tests were run with multiple values in all ranges. Pattern was identified for each range of frequency and ov Fig 6. Area under curve vs. frequency (0 to 10^4 Hz) Below are the plots obtained for each range. In the curve, the equation corresponding and the variation of points from the plot are mentioned. 2871 (Online) 33 Fig 5. Area under curve vs. frequency (for completely randomized amplitude 0.7 Once area under curve was finalized as the function to be considered, more tests were run with multiple values in all ranges. Pattern was identified for each range of frequency and overlap. Fig 6. Area under curve vs. frequency (0 to 10^4 Hz) Below are the plots obtained for each range. In the curve, the equation corresponding and the variation of points www.iiste.org (for completely randomized amplitude 0.7-1.3 V) Once area under curve was finalized as the function to be considered, more tests were run with multiple values in Below are the plots obtained for each range. In the curve, the equation corresponding and the variation of points
  • 5. Innovative Systems Design and Engineering www.iiste.org ISSN 2222-1727 (Paper) ISSN 2222-2871 (Online) Vol.4, No.8, 2013 34
  • 6. Innovative Systems Design and Engineering www.iiste.org ISSN 2222-1727 (Paper) ISSN 2222-2871 (Online) Vol.4, No.8, 2013 35 3.3 DEVELOPMENT OF CODE After all patterns were identified, code was developed on VHDL for calculation of frequency from sampled signal. Value will be read from a text file containing 107 values per second. The output frequency will be stored in another text file. A signal of ‘1’ is output if frequency is below threshold else ‘0’ is output. The VHDL code for identifying mathematical, for sample signal generation, test values and for frequency calculation is in Appendix.
  • 7. Innovative Systems Design and Engineering www.iiste.org ISSN 2222-1727 (Paper) ISSN 2222-2871 (Online) Vol.4, No.8, 2013 36 On changing the values in the places commented in the code, sample signal is generated for any frequency and corresponding value calculated by VHDL simulation is obtained. 4. RESULTS Below are the results obtained from the simulation of the VHDL simulation. Table 1 shows the value of frequency used for sample signal generation on matlab and the corresponding value obtained from VHDL for constant amplitude, random amplitude and occurrence and signals with noise. We see error increases slightly with very high overlap and frequency and is more in case of overlap with noise. For constant amplitude, 80 more samples were taken, distributed equally in every decade, and tested to check deviation.The average error comes to 2.50699%. Below is the plot of obtained value vs. average value. We see the pattern is almost linear and there is very slight deviation in pattern Fig 16. Obtained frequency vs. actual frequency 5. CONCLUSIONS We see that the frequency calculation for constant amplitude is almost accurate with very small error. The error falls and then increases again at very high frequency. For random amplitude, the error is larger at lower frequencies but reduces significantly at larger frequencies as the amplitudes average out. For signals with noise, the error is lower at lower frequencies but is high at higher frequencies. However, here we have considered the noise to be mixed with the signal. In practicality, however, the noise will be separated out first. Hence error will be significantly lower. In this project, noise signals were analysed using the same pattern as constant amplitude case. If patterns for this are analysed like for the other cases, the error can be decreased. Due to lack of time this was not attempted. BIBLIOGRAPHY 1. Perry, Douglas 1998, VHDL by Examples, 3rd edition, Singapore: Mc GrawHill 2. Bhasker, Jayaram, 1998, VHDL Primer, New Jersey: P T R Prentice Hall 3. Ashden, Peter J, 1990, The VHDL Cookbook, Ashenden Designs 4. Chu, Pong P, 2008, FPGA prototyping by VHDL examples, New Jersey: John Wiley & Sons Inc. 5. http://www.cs.umbc.edu/portal/help/VHDL/math_real.vhdl 6. http://www.velocityreviews.com/forums/t22430-random-number-generator.html 7. http://verificationguild.com/dload/utils/vhdl/random1.vhd 8. http://www.freemodelfoundry.com/converters_vhdl.php 9. http://www.jjmk.dk/MMMI/Exercises/05_Counters_Shreg/No7_PWM_vs_SigmaDelta/index.htm 10. Singh, Om Pal, 2007, Interfacing Analog to Digital Converters to FPGAs
  • 8. Innovative Systems Design and Engineering www.iiste.org ISSN 2222-1727 (Paper) ISSN 2222-2871 (Online) Vol.4, No.8, 2013 37 Table I: Values obtained for random test samples Test Frequency With Constant amplitude % error With Random amplitude % error With noise % error 3 2.774 7.66 2.48 17.3 2.77 7.5 70 66.59 4.8 65.39 6.5 66.6 4.87 800 761.9 4.76 759.2 5.09 763 4.68 3300 3142. 4.78 3144 4.69 3140 4.75 22000 21703 1.34 20992 4.58 19300 12 864000 856085 0.915 823105 4.73 757000 12.4 6123400 5428491 11.3 5832320 4.75 4560000 25 49200000 45283660 7.96 36200000 26 729000000 706756500 3.05 644000000 11.6 Annexure: VHDL CODE 1) Constant amplitude --for constant amplitude library ieee; use ieee.std_logic_1164.all; use std.textio.all; use ieee.math_real.all; entity area is end area; architecture freq_calc of area is signal clk: std_logic; begin clockgen:process begin clk<='1'; wait for 1ns; clk<='0'; wait for 1ns; end process; process variable area:real:=0.0; variable freq:real:=0.0; FILE infile: TEXT is in "C:testsamples_const_9.txt"; --enter file name with samples here FILE outfile: TEXT is out "C:/test/freq9.txt"; --enter file name to store result here variable in_val,out_val:line; variable val:real; variable a:real:=0.0; variable b:real; variable c:real; variable d:real; variable flag:integer:=0; variable check: std_logic:='0';
  • 9. Innovative Systems Design and Engineering www.iiste.org ISSN 2222-1727 (Paper) ISSN 2222-2871 (Online) Vol.4, No.8, 2013 38 begin wait until clk'EVENT and clk='1'; while not(endfile(infile)) loop check:='1'; readline(infile,in_val); -- each line from file being read read(in_val,val); -- value from each line being read area:=area+val*1.0E-7; -- area being calculated. wait until clk'EVENT and clk='1'; --sampling time=10^-7 seconds end loop; if (area<0.00756) then --frequency calculation freq:=(area-5.0E-9)/(6.0E-8); elsif(area<0.625) then a:=5.0E-15; b:=1.0E-7; c:=-0.0034-area; d:=b**2-4.0*a*c; freq:=(-b+sqrt(d))/(2.0*a); elsif(area<13.1) then a:=2.0E-15; b:=2.0E-7; c:=-0.3114-area; d:=b**2-4.0*a*c; freq:=(-b+sqrt(d))/(2.0*a); elsif (area<306.0) then a:=1.0E-15; b:=1.0E-7; c:=7.4409-area; d:=b**2-4.0*a*c; freq:=(-b+sqrt(d))/(2.0*a); elsif (area<386.103) then freq:=(area+1.0962)/(8.0E-7); elsif (area<498.0) then freq:=(area+1.1679)/(9.0E-7); elsif (area<671.0) then freq:=(area+0.9811)/(1.0E-6); elsif (area<950.0) then freq:=(area+0.9252)/(1.0E-6); elsif (area<1532.0) then freq:=(area+0.6058)/(2.0E-6); else freq:=(area+0.3535)/(4.0E-6); end if; wait until clk'EVENT and clk='1'; write(out_val,freq); --writing value into file writeline(outfile,out_val); wait ;
  • 10. Innovative Systems Design and Engineering www.iiste.org ISSN 2222-1727 (Paper) ISSN 2222-2871 (Online) Vol.4, No.8, 2013 39 end process; end freq_calc; 2) For randomized amplitude --for randomly varying amplitude --solving linear equation library ieee; use ieee.std_logic_1164.all; use std.textio.all; entity area is end area; architecture freq_calc of area is signal clk: std_logic; begin clockgen:process begin clk<='1'; wait for 1ns; clk<='0'; wait for 1ns; end process; process variable area:real:=0.0; variable freq:real:=0.0; FILE infile: TEXT is in "C:testsamples_rand_8.txt";--enter file with ADC samples here FILE outfile: TEXT is out "C:/test/freq_r_8.txt"; --enter file to store results here variable in_val,out_val:line; variable val:real; variable check: std_logic:='0'; begin wait until clk'EVENT and clk='1'; while not(endfile(infile)) loop check:='1'; readline(infile,in_val); read(in_val,val); area:=area+val*(1.0E-7); --area calculation wait until clk'EVENT and clk='1'; end loop; freq:=(area-2.0E-8)/(6.0E-8); --frequency calculation wait until clk'EVENT and clk='1'; write(out_val,freq); writeline(outfile,out_val); wait; end process; end freq_calc; 3) For signals with noise --for constant amplitude --with noise library ieee;
  • 11. Innovative Systems Design and Engineering www.iiste.org ISSN 2222-1727 (Paper) ISSN 2222-2871 (Online) Vol.4, No.8, 2013 40 use ieee.std_logic_1164.all; use std.textio.all; use ieee.math_real.all; entity area is end area; architecture freq_calc of area is signal clk: std_logic; begin clockgen:process begin clk<='1'; wait for 1ns; clk<='0'; wait for 1ns; end process; process variable area:real:=0.0; variable freq:real:=0.0; FILE infile: TEXT is in "H:testresult81.txt"; --enter file name with samples here FILE outfile: TEXT is out "H:/test/a81.txt"; -- enter file to store results here variable in_val,out_val:line; variable val:real; variable a:real:=0.0; variable b:real; variable c:real; variable d:real; variable flag:integer:=0; variable check: std_logic:='0'; begin wait until clk'EVENT and clk='1'; while not(endfile(infile)) loop check:='1'; readline(infile,in_val); read(in_val,val); area:=area+val*1.0E-7; --sampling interval of 10^-7 seconds wait until clk'EVENT and clk='1'; end loop; area:=area*2.0/3.0 if (area<0.00756) then --frequency calculation freq:=(area-5.0E-9)/(6.0E-8); elsif(area<0.625) then a:=5.0E-15; b:=1.0E-7; c:=-0.0034-area; d:=b**2-4.0*a*c; freq:=(-b+sqrt(d))/(2.0*a); elsif(area<13.1) then a:=2.0E-15; b:=2.0E-7; c:=-0.3114-area;
  • 12. Innovative Systems Design and Engineering www.iiste.org ISSN 2222-1727 (Paper) ISSN 2222-2871 (Online) Vol.4, No.8, 2013 41 d:=b**2-4.0*a*c; freq:=(-b+sqrt(d))/(2.0*a); elsif (area<306.0) then a:=1.0E-15; b:=1.0E-7; c:=7.4409-area; d:=b**2-4.0*a*c; freq:=(-b+sqrt(d))/(2.0*a); elsif (area<386.103) then freq:=(area+1.0962)/(8.0E-7); elsif (area<498.0) then freq:=(area+1.1679)/(9.0E-7); elsif (area<671.0) then freq:=(area+0.9811)/(1.0E-6); elsif (area<950.0) then freq:=(area+0.9252)/(1.0E-6); elsif (area<1532.0) then freq:=(area+0.6058)/(2.0E-6); else freq:=(area+0.3535)/(4.0E-6); end if; wait until clk'EVENT and clk='1'; write(out_val,freq); --writing value into file writeline(outfile,out_val); wait ; end process; end freq_calc;
  • 13. This academic article was published by The International Institute for Science, Technology and Education (IISTE). The IISTE is a pioneer in the Open Access Publishing service based in the U.S. and Europe. The aim of the institute is Accelerating Global Knowledge Sharing. More information about the publisher can be found in the IISTE’s homepage: http://www.iiste.org CALL FOR PAPERS The IISTE is currently hosting more than 30 peer-reviewed academic journals and collaborating with academic institutions around the world. There’s no deadline for submission. Prospective authors of IISTE journals can find the submission instruction on the following page: http://www.iiste.org/Journals/ The IISTE editorial team promises to the review and publish all the qualified submissions in a fast manner. All the journals articles are available online to the readers all over the world without financial, legal, or technical barriers other than those inseparable from gaining access to the internet itself. Printed version of the journals is also available upon request of readers and authors. IISTE Knowledge Sharing Partners EBSCO, Index Copernicus, Ulrich's Periodicals Directory, JournalTOCS, PKP Open Archives Harvester, Bielefeld Academic Search Engine, Elektronische Zeitschriftenbibliothek EZB, Open J-Gate, OCLC WorldCat, Universe Digtial Library , NewJour, Google Scholar